SWI120 ZS 2010/ hookey.com/digital/

Rozměr: px
Začít zobrazení ze stránky:

Download "SWI120 ZS 2010/2011. hookey.com/digital/"

Transkript

1 Principy cpypočítačů počítačů a operačních systémů Číslicové systémy

2 Literatura hookey.com/digital/

3 Digitální počítač Dnes obvykle binární elektronický 2 úrovně napětí, 2 logické hodnoty vysoká/nízká, true/false, 1/0 ale jsou i jiné možnosti dekadický, ternární analogový, hybridní... i technologie mechanický, hydraulický,

4 Číslicové systémy (1) Kombinační obvody funkce logických proměnných výstup závisí pouze na aktuálním vstupu (nemá paměť) Jednoduché funkční bloky multiplexory, demultiplexory kodéry, dekodéry Složitější funkční bloky části ALU,

5 Číslicové systémy (2) Sekvenční obvody kombinační obvody + paměťové prvky paměťové prvky udržují stav vstup a aktuální stav určuje výstup a následující stav Paměťový prvek 1 Kombinační obvod Paměťový prvek 2 synchronní/asynchronní způsob ů a okamžik změny ě stavu

6 Číslicové systémy (3) Synchronní sekvenční obvody hodinový signál synchronizuje změny stavu náběžná/sestupná hrana, perioda Hodinový signál změna stavu během jednoho cyklu Paměťový prvek Kombinační obvod

7 Číslicové systémy (4) Booleova algebra algebraický zápis logických výrazů manipulace s výrazy axiomy, zákony, pravidla Asociativita, distributivita, komutativita,

8 Číslicové systémy (4) Logické obvody realizace logických funkcí hradla realizující základní funkce NOT, OR, AND A A A B A+B A B A B Hradla realizující ovozené funkce NOR, NAND, XOR A A A A+B A B B B B A B

9 Číslicové systémy (5) Praktická realizace Booleova algebra signály=proměnné, hradla=operátory teoreticky stačí NOT + 1 základní operace pouze hradla NAND/NOR NAND/NOR zahrnuje negaci závisí na technologií výroby

10 Logická realizace součtu (1) Poloviční sčítačka součet dvou 1 bitových čísel vstupy: operand a,, operand b výstupy: součet s, přenos c bez přenosu z nižšího řádu součet jako logická funkce s = a XOR b c = a AND b a b s c a b XOR AND

11 Logická realizace součtu (2) Poloviční sčítačka funkční blok logický obvod a b a b c ½ c AND s ½ XOR s

12 Logická realizace součtu (3) Úplná sčítačka součet čísel a přenosu z nižšího řádu 3 vstupy: čísla a,, b,, přenos z nižšího řádu c i 2 výstupy: součet s, přenos do vyššího řádu c o realizuje součet tří čísel s = a + b + c i = (a + b) + c i přenosy vznikají při obou součtech c i a b s c o

13 Logická realizace součtu (4) Úplná sčítačka (a + b) výsledný přenos s 1 = a XOR b a b s c c 1 = a AND b c = c 1 OR c 2 c 1 c 2 c a b s o 1 c (a + b) + c a b s i s = s 1 XOR c i c 2 = s 1 AND c c i s 1 s c 2 i c i c o

14 Logická realizace součtu (5) Úplná sčítačka funkční blok a b a b c1 ½ c o c i c o OR c i s vnitřní struktura c 2 ½ s

15 Logická realizace součtu (6) Úplná sčítačka a b logický obvod c 1 AND c o OR XOR c i c 2 AND XO OR s

16 Logická realizace součtu (7) Sčítačka dvou n bitových čísel funkční blok a b vnitřní struktura add s a n 1 b n 1 a 1 b 1 a 0 b 0 c 0 n bit s n 1 s 1 s 0

17 Logická realizace rozdílu 2 bitová sčítačka/odčítačka a 1 b 1 a 0 b 0 a b XOR XOR SUB c 2 bit s 1 s 0

18 Paměťové prvky (1) Klopný obvod typu R S (latch) S Q R Q R Q S Q R S Q n Q n NAND a b NOR R S Q n Q n 0 0?? Q n 1 Q n Q n 1 Q n 1 1 1??

19 Paměťové prvky (2) Složitější klopné obvody R S s hodinovým vstupem (clocked R S latch) R S master/slave (R S flip flop) flop) J K master/slave (J K flip flop) umí invertovat vlastní stav Odvozené obvody a značení D latch, D flip flop, T flip flop S Q J Q D Q Q T R Q K Q Q Q

20 Paměťové prvky (3) n bitový registr blok klopných obvodů typu D řízených stejným hodinovým signálem vstupy: data d n 1... d 0, hodiny clk, výstupy: data q n 1... q 0 Posuvný registr 0 D Q D Q D Q D Q CLK

21 Logická realizace násobení (1) 32 bitová sekvenční násobička A 32 bit 64 bit A B Shift Right Write Control Test

22 Logická realizace násobení (2) A b A b A b A b bit 32 bit 32 bit p p 31 p 2 p 1 p 0

23 Logická realizace dělení 32 bitová sekvenční dělička B 32 bit A mod B 64 bit Shift Right Shift Left Write A div B Control Test

24 Aritmeticko logická jednotka Vstupy operandy operace: sčítání, odčítání, násobení,..., porovnání Výstupy příznaky: přenos, nulový výsledek operand A operand B výsledek příznaky ALU operace výsledek

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

1 z 8 27.4.2009 13:04 Test: "TVY_04_SLO_v3" Otázka č. 1 Vstup? obvodu je Odpověď A: hodinový vstup Odpověď B: set Odpověď C: reset Odpověď D: datový vstup Otázka č. 2 Jakou frekvenci naměříme na výstupu

Více

Sekvenční logické obvody

Sekvenční logické obvody Sekvenční logické obvody 7.přednáška Sekvenční obvod Pokud hodnoty výstupů logického obvodu závisí nejen na okamžitých hodnotách vstupů, ale i na vnitřním stavu obvodu, logický obvod se nazývá sekvenční.

Více

Principy počítačů a operačních systémů

Principy počítačů a operačních systémů Principy počítačů a operačních systémů Aritmetika v počítači Zimní semestr 2011/2012 Úvod Jak hardware provádí aritmetické operace? sčítání/odčítání, násobení a dělení Co když výsledek operace nelze reprezentovat?

Více

29.z-9.plo ZS 2015/2016

29.z-9.plo ZS 2015/2016 Ústav technologie, mechanizace a řízení staveb Teorie měření a regulace Logické řízení 4 29.z-9.plo ZS 2015/2016 2015 - Ing. Václav Rada, CSc. Další hlavní téma předmětu se dotýká obsáhlé oblasti logického

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Synchronní 3-bitový čítač s KO D, asyn. RST a výstupem MAX Vlastnosti: ) Čítač inkrementuje svůj výstup o 2) Změna výstupu nastává vždy při změně náběžné

Více

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Číselné vyjádření hodnoty. Kolik váží hrouda zlata? Čísla a logika Číselné vyjádření hodnoty Au Kolik váží hrouda zlata? Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží

Více

Aritmetika s didaktikou I.

Aritmetika s didaktikou I. Katedra matematiky PF UJEP Aritmetika s didaktikou I. KM1 / 0001 Přednáška 03 Operace v množině, vlastnosti binárních operací O čem budeme hovořit: zavedení pojmu operace binární, unární a další operace

Více

Sekvenční logické obvody

Sekvenční logické obvody Sekvenční logické obvody Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou Sekvenční obvody - paměťové členy, klopné obvody flip-flop Asynchronní klopné obvody

Více

Úvod do informačních technologií

Úvod do informačních technologií Úvod do informačních technologií přednášky Jan Outrata září prosinec 2009 (aktualizace září prosinec 2012) Jan Outrata (KI UP) Úvod do informačních technologií září prosinec 2012 1 / 58 Binární logika

Více

Úvod do informačních technologií

Úvod do informačních technologií Úvod do informačních technologií Jan Outrata KATEDRA INFORMATIKY UNIVERZITA PALACKÉHO V OLOMOUCI přednášky Binární logika Jan Outrata (Univerzita Palackého v Olomouci) Úvod do informačních technologií

Více

Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Tematická oblast ELEKTRONIKA

Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Tematická oblast ELEKTRONIKA Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_ENI_2.MA_17_Číslicový obvod Název školy Střední odborná škola a Střední odborné učiliště, Dubno Autor Ing. Miroslav Krýdl Tematická oblast

Více

ŘÍZENÍ FYZIKÁLNÍHO PROCESU POČÍTAČEM

ŘÍZENÍ FYZIKÁLNÍHO PROCESU POČÍTAČEM VYSOKÁ ŠKOLA CHEMICKO-TECHNOLOGICKÁ V PRAZE FAKULTA CHEMICKO-INŽENÝRSKÁ Ústav počítačové a řídicí techniky MODULÁRNÍ LABORATOŘE ŘÍZENÍ FYZIKÁLNÍHO PROCESU POČÍTAČEM Programování systému PCT40 v LabVIEW

Více

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/34.0452

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/34.0452 Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/34.0452 Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0452 OV_2_47_Jednoduché sekvenční obvody

Více

12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace.

12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace. 12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace. Logická proměnná - proměnná nesoucí logickou hodnotu Logická funkce - funkce přiřazující

Více

Inovace a zkvalitnění výuky prostřednictvím ICT Základy programování a algoritmizace úloh. Ing. Hodál Jaroslav, Ph.D. VY_32_INOVACE_25 09

Inovace a zkvalitnění výuky prostřednictvím ICT Základy programování a algoritmizace úloh. Ing. Hodál Jaroslav, Ph.D. VY_32_INOVACE_25 09 Střední průmyslová škola a Vyšší odborná škola technická Brno, Sokolská 1 Šablona: Název: Téma: Inovace a zkvalitnění výuky prostřednictvím ICT Základy programování a algoritmizace úloh Operátory Autor:

Více

Struktura a architektura počítačů (BI-SAP) 12

Struktura a architektura počítačů (BI-SAP) 12 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 12 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

4. Elektronické logické členy. Elektronické obvody pro logické členy

4. Elektronické logické členy. Elektronické obvody pro logické členy 4. Elektronické logické členy Kombinační a sekvenční logické funkce a logické členy Elektronické obvody pro logické členy Polovodičové paměti 1 Kombinační logické obvody Způsoby zápisu logických funkcí:

Více

Úloha K1. Spouštěni elektromotoru.

Úloha K1. Spouštěni elektromotoru. Úloha K1. Spouštěni elektromotoru. Před zapnutím trojfázového elektromotoru /nulové otáčky/, je nutné připojit kartáčky a zařadit spouštěcí odpor. Po spuštění je nutno vyřadit spouštěcí odpor a odpojit

Více

Kubatova 19.4.2007 Y36SAP - 13. procesor - control unit obvodový a mikroprogramový řadič RISC. 19.4.2007 Y36SAP-control unit 1

Kubatova 19.4.2007 Y36SAP - 13. procesor - control unit obvodový a mikroprogramový řadič RISC. 19.4.2007 Y36SAP-control unit 1 Y36SAP - 13 procesor - control unit obvodový a mikroprogramový řadič RISC 19.4.2007 Y36SAP-control unit 1 Von Neumannova architektura (UPS1) Instrukce a data jsou uloženy v téže paměti. Paměť je organizována

Více

Testování jednotky ALU a aplikace metody FMEA

Testování jednotky ALU a aplikace metody FMEA Testování jednotky ALU a aplikace metody FMEA Bc. Jiří Sobotka, Vysoké Učení technické v Brně, Fakulta elektrotechniky a komunikačních technologií, Ústav telekomunikací, Purkyňova 118, 612 00 Brno, Česká

Více

paměti typicky je 1 bit 8 bitů 16 bitů 1 KB je 1000 B 1048 b 1024 B 2 10 bajtů je 1 KB 128 KB 512 KB 1 MB 2 16 bajtů je 24 KB 32 KB 64 KB 128 KB

paměti typicky je 1 bit 8 bitů 16 bitů 1 KB je 1000 B 1048 b 1024 B 2 10 bajtů je 1 KB 128 KB 512 KB 1 MB 2 16 bajtů je 24 KB 32 KB 64 KB 128 KB Co je správně? Jeden bit má osm bajtů. Jeden bajt má osm bitů. Jeden bajt je složen ze dvou nebo čtyř slov. Nejmenší adresovatelná jednotka paměti je kapacita místa v paměti, které má vlastní adresu. nejmenší

Více

Sekvenční obvody. S R Q(t+1) 0 0? 0 1 0 1 0 1 1 1 Q(t)

Sekvenční obvody. S R Q(t+1) 0 0? 0 1 0 1 0 1 1 1 Q(t) Sekvenční obvody Pokud hodnoty výstupů logického obvodu závisí nejen na okamžitých hodnotách vstupů, ale i na vnitřním stavu obvodu, logický obvod se nazývá sekvenční. Sekvenční obvody mění svůj vnitřní

Více

STRUKTURA POČÍTAČŮ JIŘÍ HRONEK, JIŘÍ MAZURA KATEDRA INFORMATIKY PŘÍRODOVĚDECKÁ FAKULTA UNIVERZITA PALACKÉHO

STRUKTURA POČÍTAČŮ JIŘÍ HRONEK, JIŘÍ MAZURA KATEDRA INFORMATIKY PŘÍRODOVĚDECKÁ FAKULTA UNIVERZITA PALACKÉHO KATEDRA INFORMATIKY PŘÍRODOVĚDECKÁ FAKULTA UNIVERZITA PALACKÉHO STRUKTURA POČÍTAČŮ JIŘÍ HRONEK, JIŘÍ MAZURA VÝVOJ TOHOTO UČEBNÍHO TEXTU JE SPOLUFINANCOVÁN EVROPSKÝM SOCIÁLNÍM FONDEM A STÁTNÍM ROZPOČTEM

Více

Procesor. Základní prvky procesoru Instrukční sada Metody zvýšení výkonu procesoru

Procesor. Základní prvky procesoru Instrukční sada Metody zvýšení výkonu procesoru Počítačové systémy Procesor Miroslav Flídr Počítačové systémy LS 2006-1/17- Západočeská univerzita v Plzni Víceúrovňová organizace počítače Digital logic level Microarchitecture level Processor Instruction

Více

Číselné soustavy Ing. M. Kotlíková, Ing. A. Netrvalová Strana 1 (celkem 7) Číselné soustavy

Číselné soustavy Ing. M. Kotlíková, Ing. A. Netrvalová Strana 1 (celkem 7) Číselné soustavy Číselné soustavy Ing. M. Kotlíková, Ing. A. Netrvalová Strana (celkem 7) Polyadické - zobrazené mnohočlenem desítková soustava 3 2 532 = 5 + 3 + 2 + Číselné soustavy Číslice tvořící zápis čísla jsou vlastně

Více

SČÍTAČKA, LOGICKÉ OBVODY ÚVOD TEORIE

SČÍTAČKA, LOGICKÉ OBVODY ÚVOD TEORIE SČÍTAČKA, LOGICKÉ OBVODY ÚVOD Konzultanti: Peter Žilavý, Jindra Vypracovali: Petr Koupý, Martin Pokorný Datum: 12.7.2006 Naším úkolem bylo sestrojit pomocí logických obvodů (tzv. hradel) jednoduchou 4

Více

Operace ALU. INP 2008 FIT VUT v Brně

Operace ALU. INP 2008 FIT VUT v Brně Operace ALU INP 2008 FIT VUT v Brně 1 Princip ALU (FX) Požadavky: Logické operace Sčítání (v doplňkovém kódu) Posuvy/rotace Násobení ělení B A not AN OR XOR + Y 1) Implementace logických operací je zřejmá

Více

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1.

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1. Y36SAP 26.2.27 Y36SAP-2 Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka 27-Kubátová Y36SAP-Logické obvody Logický obvod Vstupy a výstupy nabývají pouze hodnot nebo Kombinační obvod popsán

Více

Architektura počítače

Architektura počítače Architektura počítače Výpočetní systém HIERARCHICKÁ STRUKTURA Úroveň aplikačních programů Úroveň obecných funkčních programů Úroveň vyšších programovacích jazyků a prostředí Úroveň základních programovacích

Více

BI-JPO. (Jednotky počítače) B. Sčítáníaodčítání

BI-JPO. (Jednotky počítače) B. Sčítáníaodčítání BI-JPO (Jednotky počítače) B. Sčítáníaodčítání c doc. Ing. Alois Pluháček, CSc. 2010 Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze Evropský sociální fond

Více

Převodníky analogových a číslicových signálů

Převodníky analogových a číslicových signálů Převodníky analogových a číslicových signálů Převodníky umožňující transformaci číslicově vyjádřené informace na analogové napětí a naopak zaujímají v řídícím systému klíčové postavení. Značná část měřených

Více

Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni

Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni Počítačové systémy Vnitřní paměti Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni Hierarchire pamětí Miroslav Flídr Počítačové systémy LS 2006-2/21- Západočeská univerzita

Více

Příklady popisu základních obvodů ve VHDL

Příklady popisu základních obvodů ve VHDL Příklady popisu základních obvodů ve VHDL INP - cvičení 2 Michal Bidlo, 2008 bidlom@fit.vutbr.cz entity Circuit is port ( -- rozhraní obvodu ); end Circuit; Proces architecture Behavioral of Circuit is

Více

LOGICKÉ OBVODY X36LOB

LOGICKÉ OBVODY X36LOB LOGICKÉ OBVODY X36LOB Doc. Ing. Hana Kubátová, CSc. Katedra počítačů FEL ČVUT v Praze 26.9.2008 Logické obvody - 1 - Úvod 1 Obsah a cíle předmětu Číslicový návrh (digital design) Číslicové obvody logické

Více

a operačních systémů

a operačních systémů NSWI2 2/2 ZS Principy počítačů a operačních systémů INSTRUKCE Kdybych nařídil generálovi, aby létal od květině ke květině a on by rozkaz neprovedl, nebyla by to chyba generálova, ale moje. král asteroidu

Více

Binární logika Osnova kurzu

Binární logika Osnova kurzu Osnova kurzu 1) Základní pojmy; algoritmizace úlohy 2) Teorie logického řízení 3) Fuzzy logika 4) Algebra blokových schémat 5) Vlastnosti členů regulačních obvodů 6) Vlastnosti regulátorů 7) Stabilita

Více

Booleova algebra. ZákonyBooleovy algebry Vyjádření logických funkcí

Booleova algebra. ZákonyBooleovy algebry Vyjádření logických funkcí Booleova algebra ZákonyBooleovy algebry Vyjádření logických funkcí pravdivostní tabulka logický výraz seznam indexů vstupních písmen mapa vícerozměrná krychle 30-1-13 O. Novák 1 Booleova algebra Booleova

Více

Strojový kód. Instrukce počítače

Strojový kód. Instrukce počítače Strojový kód Strojový kód (Machine code) je program vyjádřený v počítači jako posloupnost instrukcí procesoru (posloupnost bajtů, resp. bitů). Z hlediska uživatele je strojový kód nesrozumitelný, z hlediska

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více

VÝUKOVÝ MATERIÁL. Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632 Číslo projektu

VÝUKOVÝ MATERIÁL. Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632 Číslo projektu VÝUKOVÝ MATERIÁL Identifikační údaje školy Vyšší odborná škola a Střední škola, Varnsdorf, příspěvková organizace Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632

Více

Y36SAP - aritmetika. Osnova

Y36SAP - aritmetika. Osnova Y36SAP - aritmetika Čísla se znaménkem a aritmetické operace pevná a pohyblivá řádová čárka Kubátová 2007 Y36SAP-aritmetika 1 Osnova Zobrazení záporných čísel Přímý, aditivní a doplňkový kód a operace

Více

Využití ICT pro rozvoj klíčových kompetencí CZ.1.07/1.5.00/34.0448

Využití ICT pro rozvoj klíčových kompetencí CZ.1.07/1.5.00/34.0448 Střední odborná škola elektrotechnická, Centrum odborné přípravy Zvolenovská 537, Hluboká nad Vltavou Využití ICT pro rozvoj klíčových kompetencí CZ.1.07/1.5.00/34.0448 CZ.1.07/1.5.00/34.0448 1 Číslo projektu

Více

Základy číslicové techniky. 2 + 1 z, zk

Základy číslicové techniky. 2 + 1 z, zk Základy číslicové techniky 2 + 1 z, zk Ing. Vít Fábera, K614 e-mail: fabera@fd.cvut.cz K508, 5. patro, laboratoř, 2 2435 9555 Ing. Tomáš Musil, Ph.D., K620 e-mail: musil@asix.cz K508, 5. patro, laboratoř,

Více

2010/2011 ZS. z HW pohledu 640 kb ought to be enough for anybody. Bill Gates, 1981

2010/2011 ZS. z HW pohledu 640 kb ought to be enough for anybody. Bill Gates, 1981 Pi Principy i počítačů čů PAMĚŤOVÝ SUBSYSTÉM z HW pohledu 640 kb ought to be enough for anybody. Bill Gates, 1981 Literatura http://www.tomshardware.com http://www.play-hookey.com/digital/ Hewlett-Packard:

Více

1 z 16 11.5.2009 11:33 Test: "CIT_04_SLO_30z50" Otázka č. 1 U Mooreova automatu závisí okamžitý výstup Odpověď A: na okamžitém stavu pamětí Odpověď B: na minulém stavu pamětí Odpověď C: na okamžitém stavu

Více

Projekt realizovaný na SPŠ Nové Město nad Metují. s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje

Projekt realizovaný na SPŠ Nové Město nad Metují. s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje Projekt realizovaný na SPŠ Nové Město nad Metují s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje Modul 03 Technické předměty Ing. Otakar Maixner 1 Blokové

Více

DUM 02 téma: Elementární prvky logiky výklad

DUM 02 téma: Elementární prvky logiky výklad DUM 02 téma: Elementární prvky logiky výklad ze sady: 01 Logické obvody ze šablony: 01 Automatizační technika I Určeno pro 3. ročník vzdělávací obor: 26-41-M/01 Elektrotechnika ŠVP automatizační technika

Více

Převodníky AD a DA. AD a DA. Převodníky AD a DA. Základní charakteristika

Převodníky AD a DA. AD a DA. Převodníky AD a DA. Základní charakteristika Převodníky AD a DA K.D. - přednášky 1 Převodník AD v MCU Základní charakteristika Většinou převodník s postupnou aproximací. Pro více vstupů (4 16) analogový multiplexor na vstupu. Převod způsobem sample

Více

Booleovy algebry. Irina Perfilieva. logo

Booleovy algebry. Irina Perfilieva. logo Booleovy algebry Irina Perfilieva Irina.Perfilieva@osu.cz 25. března 2010 Outline 1 Komplementární svazy 2 Booleovy algebry 3 Věty o Booleových algebrách Outline 1 Komplementární svazy 2 Booleovy algebry

Více

Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009. Číselné soustavy

Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009. Číselné soustavy Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ..7/..8/3.9 Číselné soustavy Použitá literatura: Kantnerová, I.: Sbírka příkladů z číslicové techniky, IDEA SERVIS, Praha 2 http://programujte.com

Více

Vítězslav Bártl. březen 2013

Vítězslav Bártl. březen 2013 VY_32_INOVACE_VB07_K Jméno autora výukového materiálu Datum (období), ve kterém byl VM vytvořen Ročník, pro který je VM určen Vzdělávací oblast, vzdělávací obor, tematický okruh, téma Anotace Vítězslav

Více

Pohled do nitra mikroprocesoru Josef Horálek

Pohled do nitra mikroprocesoru Josef Horálek Pohled do nitra mikroprocesoru Josef Horálek Z čeho vycházíme = Vycházíme z Von Neumannovy architektury = Celý počítač se tak skládá z pěti koncepčních bloků: = Operační paměť = Programový řadič = Aritmeticko-logická

Více

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je vstup určen jen výhradně kombinací vstupních veličin. Hodnoty

Více

Obsah DÍL 1. Předmluva 11

Obsah DÍL 1. Předmluva 11 DÍL 1 Předmluva 11 KAPITOLA 1 1 Minulost a současnost automatizace 13 1.1 Vybrané základní pojmy 14 1.2 Účel a důvody automatizace 21 1.3 Automatizace a kybernetika 23 Kontrolní otázky 25 Literatura 26

Více

Instrukční sada pro používání ControlLogix a CompactLogix výňatek

Instrukční sada pro používání ControlLogix a CompactLogix výňatek Instrukční sada pro používání ControlLogix a CompactLogix výňatek V této části uvedeme několik instrukcí potřebných pro řešení laboratorních úloh v předmětu BPGA. Uvádíme jenom část instrukcí, v případě

Více

1. Programování PLC. Programovatelné automaty II - 1 -

1. Programování PLC. Programovatelné automaty II - 1 - Programovatelné automaty II - 1-1. Programování PLC Centrální jednotka Poskytuje programovatelnému automatu inteligenci. Realizuje soubor instrukcí a systémových služeb, zajišťuje i základní komunikační

Více

Programovatelné logické obvody

Programovatelné logické obvody Programovatelné logické obvody Úlohy k řešení 1) Klopný obvod D jako dělič kmitočtu Realizujte obvod pro dělení kmitočtu koeficienty 2, 4, 8 pomocí klopného obvodu typu D. Jako vstupní signál použijte

Více

Číslicové obvody základní pojmy

Číslicové obvody základní pojmy Číslicové obvody základní pojmy V číslicové technice se pracuje s fyzikálními veličinami, které lze popsat při určité míře zjednodušení dvěma stavy. Logické stavy binární proměnné nabývají dvou stavů:

Více

Aritmetika s velkými čísly na čipové kartě

Aritmetika s velkými čísly na čipové kartě Aritmetika s velkými čísly na čipové kartě Ivo Rosol ředitel divize vývoje OKsystem s.r.o. Praha, 23.5.2013 Spojujeme software, technologie a služby Čísla v kryptografii V kryptografii se zásadně pracuje

Více

Booleova algebra. Logická proměnná. Booleova algebra

Booleova algebra. Logická proměnná. Booleova algebra Booleov lger Cílem této kpitoly je seznámit se se zákldy Booleovy logické lgery, která je mtemtickou disciplínou tvoří teoretický prostředek pro návrh logických ovodů. Klíčové pojmy: Logická proměnná,

Více

Univerzita Tomáše Bati ve Zlíně

Univerzita Tomáše Bati ve Zlíně Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření Úvod do číslicové techniky Přednáška č. 9 Milan Adámek adamek@ft.utb.cz U5 A711 +420576035251 Úvod do číslicové techniky 1 Základní pojmy

Více

Automatizace 2 3. Pneumatické ízení pneumatika stla eného vzduchu etlaku Vlastnosti pneumatických pohon dopravovat vedením uchovávat v zásobnících

Automatizace 2 3. Pneumatické ízení pneumatika stla eného vzduchu etlaku Vlastnosti pneumatických pohon dopravovat vedením uchovávat v zásobnících Automatizace 2 Ing. Jiří Vlček Soubory At1 až At4 budou od příštího vydání (podzim 2008) součástí publikace Moderní elektronika. Slouží pro výuku předmětu automatizace na SPŠE. 3. Pneumatické řízení Technická

Více

1 z 9 9.6.2008 13:27

1 z 9 9.6.2008 13:27 1 z 9 9.6.2008 13:27 Test: "TVY_KLO" Otázka č. 1 Převodníku je: kombinační logický obvod, který převádí jeden binární kód do druhého Odpověď B: obvod, pomocí kterého můžeme převádět číslo z jedné soustavy

Více

PROTOKOL O LABORATORNÍM CVIČENÍ

PROTOKOL O LABORATORNÍM CVIČENÍ STŘEDNÍ PRŮMYSLOVÁ ŠKOLA V ČESKÝCH BUDĚJOVICÍCH, DUKELSKÁ 13 PROTOKOL O LABORATORNÍM CVIČENÍ Provedl: Jan Kotalík Datum: 3.1. 2010 Číslo: Kontroloval/a Datum: 1. ÚLOHA: Návrh paměti Pořadové číslo žáka:

Více

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ Odlišnosti silových a ovládacích obvodů Logické funkce ovládacích obvodů Přístrojová realizace logických funkcí Programátory pro řízení procesů Akční členy ovládacích

Více

Inovace bakalářského studijního oboru Aplikovaná chemie. Reg. č.: CZ.1.07/2.2.00/15.0247

Inovace bakalářského studijního oboru Aplikovaná chemie. Reg. č.: CZ.1.07/2.2.00/15.0247 Inovace bakalářského studijního oboru Aplikovaná chemie Reg. č.: CZ.1.07/2.2.00/15.0247 APLIKACE POČÍTAČŮ V MĚŘÍCÍCH SYSTÉMECH PRO CHEMIKY s využitím LabView 4. Převod AD a DA, obvody Sample and Hold,

Více

V počítači jsou jen jednotky a nuly

V počítači jsou jen jednotky a nuly V počítači jsou jen jednotky a nuly Obsah 1. Dvojková číselná soustava 2. Základy práce v dvojkové soustavě 3. Booleova algebra, logické funkce a binární číslice (bity) 4. Základní logické operátory 5.

Více

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Činnost CPU Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Hodinový cyklus CPU je synchronní obvod nutné hodiny (f CLK ) Instrukční cyklus IF = doba potřebná

Více

L A B O R A T O R N Í C V I Č E N Í

L A B O R A T O R N Í C V I Č E N Í Univerzita Pardubice Ústav elektrotechniky a informatiky Pardubice, Studentská 95 L A B O R A T O R N Í C V I Č E N Í Příjmení Paar Číslo úlohy: 2 Jméno: Jiří Datum měření: 15. 5. 2007 Školní rok: 2006

Více

Matice a maticová algebra, soustavy lineárních rovnic, kořeny polynomu a soustava nelin.rovnic

Matice a maticová algebra, soustavy lineárních rovnic, kořeny polynomu a soustava nelin.rovnic co byste měli umět po dnešní lekci: definovat matici, přistupovat k jejím prvkům provádět základní algebraické operace spočíst inverzní matici najít řešení soustavy lineárních rovnic určit vlastní čísla

Více

2.7 Binární sčítačka. 2.7.1 Úkol měření:

2.7 Binární sčítačka. 2.7.1 Úkol měření: 2.7 Binární sčítačka 2.7.1 Úkol měření: 1. Navrhněte a realizujte 3-bitovou sčítačku. Pro řešení využijte dílčích kroků: pomocí pravdivostní tabulky navrhněte a realizujte polosčítačku pomocí pravdivostní

Více

Způsoby realizace této funkce:

Způsoby realizace této funkce: KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je výstup určen jen výhradně kombinací vstupních veličin. Hodnoty výstupních veličin nezávisejí na předcházejícím stavu logického obvodu, což znamená, že kombinační

Více

Jazyk VHDL zápis čísel, znaků a řetězců. Jazyk VHDL základní datové typy a operátory. Kurz A0B38FPGA Aplikace hradlových polí

Jazyk VHDL zápis čísel, znaků a řetězců. Jazyk VHDL základní datové typy a operátory. Kurz A0B38FPGA Aplikace hradlových polí ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Jazyk VHDL zápis čísel, znaků a řetězců Jazyk VHDL základní datové typy a operátory Kurz

Více

Procesor. Procesor FPU ALU. Řadič mikrokód

Procesor. Procesor FPU ALU. Řadič mikrokód Procesor Procesor Integrovaný obvod zajišťující funkce CPU Tvoří srdce a mozek celého počítače a do značné míry ovlivňuje výkon celého počítače (čím rychlejší procesor, tím rychlejší počítač) Provádí jednotlivé

Více

Sada 2 - MS Office, Excel

Sada 2 - MS Office, Excel S třední škola stavební Jihlava Sada 2 - MS Office, Excel 03. Úvod do Excelu 2007. Vkládání dat, vzorce Digitální učební materiál projektu: SŠS Jihlava šablony registrační číslo projektu:cz.1.09/1.5.00/34.0284

Více

Struktura a architektura počítačů (BI-SAP) 3

Struktura a architektura počítačů (BI-SAP) 3 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 3 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/34.0452

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/34.0452 Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/34.0452 Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0452 OV_2_44_LED indikátor a spínač Název

Více

XXXIII Celostátní olympiáda znalostí elektriky a elektroniky Krosno 25. března 2010 TEST PRO ELEKTRONICKOU SKUPINU

XXXIII Celostátní olympiáda znalostí elektriky a elektroniky Krosno 25. března 2010 TEST PRO ELEKTRONICKOU SKUPINU XXXIII elostátní olympiáda znalostí elektriky a elektroniky Krosno. března TEST PO ELEKTONIKO SKPIN Vysvětlení: Než odpovíš na otázku, pečlivě přečti níže uvedený text. Test obsahuje otázek. Odpovědi musejí

Více

AUTORKA Barbora Sýkorová

AUTORKA Barbora Sýkorová ČÍSLO SADY III/2 AUTORKA Barbora Sýkorová NÁZEV SADY: Číslo a proměnná číselné označení DUM NÁZEV DATUM OVĚŘENÍ DUM TŘÍDA ANOTACE PLNĚNÉ VÝSTUPY KLÍČOVÁ SLOVA FORMÁT (pdf,, ) 1 Pracovní list číselné výrazy

Více

Středoškolská technika Návrh procesorového jádra

Středoškolská technika Návrh procesorového jádra Středoškolská technika 2017 Setkání a prezentace prací středoškolských studentů na ČVUT Návrh procesorového jádra Lukáš Pácl Střední průmyslová škola, Česká Lípa Havlíčkova 426, Česká Lípa 1/1 Licenční

Více

Číselnésoustavy, sčítáníasčítačky

Číselnésoustavy, sčítáníasčítačky MI-AAK(Aritmetika a kódy) Číselnésoustavy, sčítáníasčítačky c doc. Ing. Alois Pluháček, CSc., 2011 Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze Evropský

Více

DUM 10 téma: Stavová tabulka výklad

DUM 10 téma: Stavová tabulka výklad DUM 10 téma: Stavová tabulka výklad ze sady: 01 Logické obvody ze šablony: 01 Automatizační technika I Určeno pro 3. ročník vzdělávací obor: 26-41-M/01 Elektrotechnika ŠVP automatizační technika Vzdělávací

Více

Typy a použití klopných obvodů

Typy a použití klopných obvodů Typy a použití klopných obvodů Klopné obvody s hodinovým vstupem mění svůj stav, pokud hodinový vstup má hodnotu =. Přidáním invertoru před hodinový vstup je lze upravit tak, že budou měnit svůj stav tehdy,

Více

MODERNIZACE VÝUKY PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ

MODERNIZACE VÝUKY PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ Projekt: MODERNIZCE VÝUK PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ Úloha: Měření kombinačních logických funkcí kombinační logický obvod XOR neboli EXLUSIV OR Obor: Elektrikář slaboproud Ročník: 3. Zpracoval: Ing. Jiří

Více

Logické řízení. Náplň výuky

Logické řízení. Náplň výuky Logické řízení Logické řízení Náplň výuky Historie Logické funkce Booleova algebra Vyjádření Booleových funkcí Minimalizace logických funkcí Logické řídicí obvody Blokové schéma Historie Číslicová technika

Více

Principy počítačů a operačních systémů

Principy počítačů a operačních systémů Principy počítačů a operačních systémů Architektura a implementace zjednodušeného procesoru MIPS Zimní semestr 2011/2012 Architektura procesoru MIPS (1) Registry 32 obecných 32-bitových registrů (general-purpose)

Více

Sylabus kurzu Elektronika

Sylabus kurzu Elektronika Sylabus kurzu Elektronika 5. ledna 2004 1 Analogová část Tato část je zaměřena zejména na elektronické prvky a zapojení v analogových obvodech. 1.1 Pasivní elektronické prvky Rezistor, kondenzátor, cívka-

Více

Zkouškové otázky z A7B31ELI

Zkouškové otázky z A7B31ELI Zkouškové otázky z A7B31ELI 1 V jakých jednotkách se vyjadřuje napětí - uveďte název a značku jednotky 2 V jakých jednotkách se vyjadřuje proud - uveďte název a značku jednotky 3 V jakých jednotkách se

Více

http://programujte.com/clanek/2006053002-ze-sesitu-cislicove-techniky-br-0001-0011-dil-7400-potreti-a-nenaposledy/ LOGICKÉ OBVODY

http://programujte.com/clanek/2006053002-ze-sesitu-cislicove-techniky-br-0001-0011-dil-7400-potreti-a-nenaposledy/ LOGICKÉ OBVODY Logické funkce, logické obvody - 1 - ME3 Přehledové Texty sebrané z různých zdrojů --- http://programujte.com/clanek/2006012803-ze-sesitu-cislicove-techniky-br-0000-0011-dil-pocitani-v-binarnisoustave/

Více

evodníky Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření Přednáška č. 14 Milan Adámek adamek@fai.utb.cz U5 A711 +420576035251

evodníky Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření Přednáška č. 14 Milan Adámek adamek@fai.utb.cz U5 A711 +420576035251 Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření A/D a D/A převodnp evodníky Přednáška č. 14 Milan Adámek adamek@fai.utb.cz U5 A711 +420576035251 A/D a D/A převodníky 1 Důvody převodu signálů

Více

Struktura a architektura počítačů (BI-SAP) 4

Struktura a architektura počítačů (BI-SAP) 4 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Signálové a mezisystémové převodníky

Signálové a mezisystémové převodníky Signálové a mezisystémové převodníky Tyto převodníky slouží pro generování jednotného nebo unifikovaného signálu z přirozených signálů vznikajících v čidlech. Často jsou nazývány vysílači příslušné fyzikální

Více

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3)

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3) Paměti EEPROM (1) EEPROM Electrically EPROM Mají podobné chování jako paměti EPROM, tj. jedná se o statické, energeticky nezávislé paměti, které je možné naprogramovat a později z nich informace vymazat

Více

Vstupně - výstupní moduly

Vstupně - výstupní moduly Vstupně - výstupní moduly Přídavná zařízení sloužící ke vstupu a výstupu dat bo k uchovávání a archivaci dat Nejsou připojována ke sběrnici přímo, ale prostřednictvím vstupně-výstupních modulů ( ů ). Hlavní

Více

4.6.6 Složený sériový RLC obvod střídavého proudu

4.6.6 Složený sériový RLC obvod střídavého proudu 4.6.6 Složený sériový LC obvod střídavého proudu Předpoklady: 41, 4605 Minulá hodina: odpor i induktance omezují proud ve střídavém obvodu, nemůžeme je však sčítat normálně, ale musíme použít Pythagorovu

Více