Fakulta informačních technologií
|
|
- Jindřiška Štěpánková
- před 8 lety
- Počet zobrazení:
Transkript
1 Vysoké učení technické v Brně Fakulta informačních technologií Pokročilé číslicové systémy Verifikace číslicových systémů cvičení 2012 Tento materiál vznikl za podpory Fondu rozvoje vysokých škol (projekt 1798/2012).
2 Obsah 1 Úvod 1 2 Popis verifikované jednotky ALU 2 3 Popis verifikačního prostředí ALU v OVM 4 4 Úlohy k řešení 5 5 Příloha 9 i
3 1 Úvod V procesu vývoje číslicových systémů je jejich (funkční) verifikace nedílnou a důležitou součástí. Jazyky pro popis číslicových systémů jako VHDL či Verilog obsahovaly (a obsahují) jednoduché konstrukce v samotné definici jazyka pro návrh testovacích obvodů či testovacích prostředí (testbench). Avšak v důsledku rostoucí složitosti vyvíjených obvodů vznikla potřeba vytvořit specializované jazyky obsahující prostředky pro návrh komplexních verifikačních prostředí (SystemVerilog, e, OpenVera). V souvislosti s tímto vývojem se rozrůstají i možnosti existujících nástrojů pro vývoj číslicových systémů a to zejména podporou těchto specializovaných jazyků a verifikačních metodik. Navíc vznikají i samostatné, specializované nástroje pro funkční a formální verifikaci číslicových obvodů. V tomto cvičení se budeme zabývat jazykem SystemVerilog a verifikační metodikou OVM (angl. Open Verification Methodology). Na příkladu verifikace aritmeticko-logické jednotky (ALU) budou demonstrovány výhody specializovaného jazyka pro verifikaci, jednotného verifikačního prostředí definovaného metodikou OVM a samotného procesu funkční verifikace pomocí simulačního nástroje ModelSim od společnosti Mentor Graphics. SystemVerilog je objektově orientovaný jazyk jenž vzniknul v roce 2005 jako rozšíření jazyka Verilog o vlastnosti orientované na verifikaci. Mezi hlavní doplněné vlastnosti patří: generování náhodných transakcí na základě omezujících podmínek (angl. constrained-random stimulus generation), podpora analýzy pokrytí funkcionality systému verifikačními běhy (angl. coverage) a také prostředky pro popis invariantů systému a kontrole jejich platnosti při verifikačních bězích (angl. assertion-based verification). Kromě původních datových typů převzatých z Verilogu byla přidána podpora dalších, určených ke zjednodušení verifikace číslicových systémů a práce s hodnotami na úrovni jednotlivých bitů či jejich skupin. Jazyk SystemVerilog se během několika let vyvinul ve standardizovaný a všeobecně akceptovaný jazyk pro účely verifikace číslicových systémů. Díky tomu, že si osvojil některé prostředky z běžných vysokoúrovňových programovacích jazyků k zajištění znovupoužitelnosti kódu, začaly vznikat na něm postavené obecné metodiky pro tvorbu verifikačních prostředí. Nejúspěšnější z nich je v současnosti otevřená a volně dostupná metodika Open Verification Methodology (OVM), která poskytuje knihovnu základních a rozšířených tříd (komponent) pro tvorbu verifikačních prostředí v rámci balíku OVM Tato metodika definuje, jakým způsobem implementovat lehce rozšiřitelné a znovu použitelné verifikační prostředí. Jak uvidíme dále v cvičení, verifikační prostředí v OVM je uspořádáno hierarchicky, s nejnižší úrovní obsahující testovanou jednotku (angl. Design Under Test DUT) se kterou probíhá komunikace přímo pomocí signálů na úrovni hradel, a vyšších vrstev, na nichž probíhá komunikace pomocí vysokoúrovňových transakcí. Transakce v OVM představují základní způsob komunikace mezi jednotlivými procesy verifikačního prostředí. Tento způsob práce s jednotlivými komponentami a jejich obousměrnou komunikací se nazývá modelování na úrovni transakcí (angl. Transaction-level Modelling). Komponenty verifikačního prostředí zahrnují (Obrázek 1): nastavení vlastností a parametrů příslušného verifikačního testu (TESTCASE s parametry), řízení verifikačního běhu (SCENARIO LEVEL), vkládání automaticky generovaných vstupních transakcí (GENERATOR) na vstupní rozhraní verifikované jednotky (DRIVER), 1
4 Testcase Generator Scenario level Verification level Scoreboard Coverage Driver Monitor DUT Signal level Obrázek 1: Schéma generického verifikačního prostředí sběr výstupních transakcí z výstupního rozhraní verifikované jednotky (MONITOR), sběr a analýzu statistik pro automaticky nebo ručně vytvořené body pokrytí ve vytvářeném modelu (COVERAGE), koncept sebekontrolního mechanismu (SCOREBOARD), v němž jsou na základě specifikace verifikovaného systému vytvářeny očekávané výstupní transakce (angl. predicted responses). Tyto jsou následně automaticky porovnány se skutečnými výstupními transakcemi systému (angl. real responses). 2 Popis verifikované jednotky ALU Na Obrázku 2 je znázorněno rozhraní verifikované jednotky ALU. Toto rozhraní je tvořeno následujícími signály: řídicí: CLK [in]: hodinový signal; všechny vstupy a výstupy ALU jsou aktivní na náběžné hraně tohoto signálu, RST [in]: synchronní reset, ACT [in]: aktivační vstup, hodnota 1 signalizuje požadavek na výpočet, RST CLK ACT OP REG_A REG_B MOVI IMM MEM ALU EX_ALU EX_ALU_VLD Obrázek 2: Rozhraní ALU jednotky 2
5 datové: Tabulka 1: Význam hodnot vstupního signálu OP komponenty ALU Hodnota Význam 0x0 OUT = IN1 + IN2 0x1 OUT = IN1 - IN2 0x2 OUT = IN1 * IN2 0x3 OUT = IN2 >> 1 0x4 OUT = IN2 << 1 0x5 OUT = IN2 ROR 1 0x6 OUT = IN2 ROL 1 0x7 OUT = ~IN2 0x8 OUT = IN1 & IN2 0x9 OUT = IN1 IN2 0xA OUT = IN1 ^ IN2 0xB OUT = ~(IN1 & IN2) 0xC OUT = ~(IN1 IN2) 0xD OUT = ~(IN1 ^ IN2 0xE OUT = IN xF OUT = IN2-1 OP(3:0) [in]: volba operace ALU (viz Tabulka 1), REG A(DATA WIDTH-1:0) [in]: vstup z registru jenž obsahuje první operand, MOVI(1:0) [in]: vybírá druhý operand (viz Tabulka 2), REG B(DATA WIDTH-1:0) [in]: vstup z registru jenž obsahuje druhý operand (MOVI = "00"), MEM(DATA WIDTH-1:0) [in]: vstup z paměti jenž obsahuje druhý operand (MOVI = "01"), IMM(DATA WIDTH-1:0) [in]: přímo zadaný druhý operand (MOVI = "10"), výstupy: EX ALU VLD [out]: signál značící platnost výsledku (může být již tentýž takt jako je ACT = 1 ), EX ALU(15:0) [out]: výsledek operace (hodnota je platná jen když EX ALU VLD = 1 ); pro operace mimo násobení je výsledek předán v jednom taktu, u násobení je výsledek předán ve dvou taktech (v obou je signál EX ALU VLD nastaven do 1, první je předána méně významná část výsledku). Tabulka 2: Význam hodnot vstupního signálu MOVI komponenty ALU Hodnota Význam 0x0 REG B 0x1 MEM 0x2 IMM 0x3 <RESERVED> 3
6 3 Popis verifikačního prostředí ALU v OVM Na Obrázku 3 je znázorněno verifikační prostředí pro ALU, které je rozděleno na tyto části: AluTop: Na nejvyšší úrovni verifikačního prostředí dochází k instancování základních komponent, především testované jednotky (DUT), rozhraní pro komunikaci s ní (ALU DUT IFC), generování hodinového a resetovacího signálu a spuštění testu dle zadaných parametrů (délka generování resetu RESET TIME, perioda hodinového signálu CLK PERIOD, počet transakcí TRANSACTION COUNT a nastavení počáteční hodnoty generátoru náhodných čísel SEED). AluTop (top_level.sv) AluTest (sv_alu_test_pkg.sv) AluEnv (sv_alu_env_pkg.sv) AluSequence (sv_alu_seq_pkg.sv) AluSequencer (alu_sequencer.sv) AluInputTransaction (alu_input_transaction.sv) AluDriver (alu_driver.sv) AluMonitor (alu_monitor.sv) AluSubscriber *coverage analysis* (alu_input_subscriber.sv) (alu_output_subscriber.sv) AluDutIfWrapper ALU_DUT_IFC (alu_dut_if_wrapper.sv) AluScoreboard (alu_scoreboard.sv) DUT (alu.vhd) Obrázek 3: Verifikační prostředí pro ALU v OVM AluTest: V této vrstvě se instancuje verifikační prostředí AluEnv a spouští se generování posloupnosti transakcí, jejichž formát je definován pomocí AluSequence. Komponenta AluDriver získává generované transakce z komponenty AluSequencer. AluSequence: V rámci generování vstupních transakcí pro ALU je nejprve objektu třídy AluSequence předán prototyp (blueprint) transakce typu AluInputTransaction. Následně probíhá vytváření transakcí tím způsobem, že je vytvořena kopie prototypu transakce, jejíž datová 4
7 část je na základě omezujících podmínek vyplněna náhodnými daty či konstantami. Je vytvořena celková sekvence o maximálním počtu transakcí TRANSACTION COUNT zadaném v parametrech verifikačního běhu. AluEnv: Komponenta AluDriver přijímá sekvence transakcí z AluSequencer. S testovanou jednotkou (DUT) nekomunikuje přímo, ale skrze wrapper daného vstupního rozhraní, protože transakci je nutno transformovat na podobu bitových signálů. Transakce jsou také zaslány do komponenty AluScoreboard. Úlohou komponenty AluMonitor je příjem transakcí od wrapperu výstupního rozhraní ALU a jejich zasílání pro zpracování do komponenty AluScoreboard. V komponentě AluScoreboard dochází k transformaci transakcí přijatých od komponenty AluDriver podle specifikace ALU a vytvoření očekávané výstupní transakce, jejíž formát je definován ve třídě AluOutputTransaction. Takto vytvořené transakce jsou automaticky porovnávány s reálnými transakcemi, které jsou přijaty z komponenty AluMonitor. V případě neshody je oznámena chyba, kterou je možné dále analyzovat. Hodnocení průběhu verifikace se provádí v komponentě AluSubscriber. Ta obsahuje tzv. body pokrytí, kterými verifikátor definuje, které vlastnosti, funkce či hraniční stavy systému chce v rámci verifikace ověřit. Tento proces se provádí s podporou samotného simulačního nástroje. Jednotlivé komponenty jsou uspořádány hierarchicky v adresářích (viz také Příloha): src test lib seq lib env lib 4 Úlohy k řešení Úloha 1 oprava chyby v testované komponentě. Následujícím příkazem v příkazovém řádku spustíte verifikační proces v nástroji ModelSim: # vsim -do simulation.fdo V této fázi není potřebné měnit nastavení verifikačního běhu ani další parametry. Po spuštění se zobrazí textový výpis s průběhem verifikace, který skončí chybou. Na základě vypsaných informací odhalte zdroj chyby, opravte ji v příslušném zdrojovém souboru a ověřte opravu chyby opakovaným spuštěním verifikačního procesu. Nápověda: Všimněte si vstupů a řídicích signálů ALU. Proč je očekávaný a získaný výsledek odlišný? Úloha 2 oprava chyby ve verifikačním prostředí. Po opravení chyby spusťte verifikační proces znovu příkazem v ModelSimu: VSIM(paused)> do simulation.fdo Zobrazí se chyba související s neznámým nastavením operandu. V tomto kroku bude potřeba zasáhnout do verifikačního prostředí. 5
8 Obrázek 4: Skupiny pokrytí Nápověda: Opět pozorně sledujte výpis z verifikace. Pozornost směřujte i na příslušný řádek v souboru alu scoreboard.sv a jeho analýzu. Proč dochází k chybě s neznámým operandem? Úloha 3 doplnění bodu pokrytí. Po nalezení zdroje chyby spusťte verifikaci jako v předchozím případě. V tomto případě už proběhne celý verifikační běh s aktuálními nastaveními v pořádku. Zobrazte si záložku Covergroups v okně Wave v prostředí ModelSimu, Obrázek 4. V zobrazeném okně je seznam bodů pokrytí definovaných v souboru alu input subscriber.sv. Rozšiřte tento seznam o další bod pokrytí, ve kterém bude sledováno pokrytí posloupnosti operandů (pouze sledování vytváření párů posloupností, tj. přechodů z jednoho operandu do druhého). Bod pokrytí nazvěte op after op a spusťte verifikaci znovu. Nápověda: Jazyk SystemVerilog umožňuje definovat skupiny bodů pokrytí s jednotlivými body pokrytí, které jsou sledovány v simulačním nástroji. Bod pokrytí umožňuje definovat hodnotu (nebo množinu hodnot), které je potřeba během verifikace sledovat. Body pokrytí se definují jako tzv. koše (angl. bins), pro které se počítá počet shod. Příklad: int i; covergroup range_cover; coverpoint i { bins zero = {0}; // počet shod s hodnotou 0 } endgroup 6
9 Obrázek 5: Sledování posloupnosti operací Také je možno vytvořit bod pokrytí, kterým se sledují přechody mezi hodnotami, počet přechodů není omezen. Příklad: covergroup CoverPort; coverpoint port { bins t1 = (0 => 1), (0 => 2), (0 => 3); } endgroup V předchozím příkladu se pomocí koše t1 dá sledovat počet přechodů signálu port z hodnoty 0 do hodnot 1, 2, nebo 3. Snažte se zjednodušit práci tak, aby nebylo nutné ručně definovat dvojice posloupností. Vytváříte konečnou množinu možných přechodů, použijte vhodný datový typ. Výsledný zápis je velmi jednoduchý, inspirujte se již vytvořenými body pokrytí. Úloha 4 zvýšení úrovně pokrytí. Opět si zobrazte okno bodů pokrytí a všimněte si, že do seznamu přibyl nově definovaný bod, viz Obrázek 5. Také si všimněte seznamu vytvořených dvojic posloupností. Verifikace proběhla v pořádku, ale celkové pokrytí je velmi malé. Pokuste se zvýšit pokrytí na 100 % u všech bodů. Nápověda: Zvýšení pokrytí se typicky dosahuje delším verifikačním během. Experimentálně zkuste (orientačně) najít nejmenší hodnotu počtu transakcí nutných k dosažení 100 % pokrytí změnou nastavení parametrů verifikačního testu. 7
10 Úloha 5 modifikace ALU a verifikačního prostředí. V posledním kroku rozšíříme možnosti jednotky ALU o další dvě operace a provedeme potřebné změny i ve verifikačním prostředí. Operace ALU je možno řídit 4-bitovým signálem, využívá se však pouze 14 z celkových 16 možných. ALU rozšíříme o operace inkrementu a dekrementu. 1. Doplňte zdrojový VHDL soubor ALU jednotky o operace inkrementu a dekrementu. 2. Protože v procesu verifikace je potřeba ve verifikačním prostředí vytvářet model verifikované jednotky, je potřeba doplnit generování nových dvou operací i do příslušného bloku verifikačního prostředí. 3. Upravte omezení (constraint) týkající se počtu generovaných operací a zvyšte tuto hodnotu o další dvě operace. Nápověda: úprava se týká souboru, ve kterém jste již opravovali chybu související s generováním neznámého operandu (úloha 2). 4. V souboru alu input subscriber.sv upravte seznam definující výčtový typ pro generované operace. Nezapomeňte upravit vytvořený bod pokrytí pro sledování posloupnosti dvojic generovaných operací. 5. Opět analyzujte dosažené pokrytí a najděte experimentálně počet transakcí potřebných k dosažení úrovně 100 % pokrytí. 8
11 5 Příloha Hierarchie nejdůležitějších souborů -- env_lib -- src -- alu_driver.sv -- alu -- alu_dut_if_wrapper.sv -- Modules.tcl -- alu_env.sv -- alu.vhd // zdrojový kód ALU -- alu_input.sv -- alu_input_subscriber.sv // coverage points -- mult -- alu_monitor.sv -- mult.vhd -- alu_output_subscriber.sv -- simulation.fdo -- alu_scoreboard.sv // scoreboarding -- testbench.vhd -- alu_sender.sv -- sv_alu_env_pkg.sv -- seq_lib -- test_lib -- alu_input_transaction.sv // constraints -- alu_test.sv -- alu_output_transaction.sv -- sv_alu_test_pkg.sv -- alu_sequence.sv -- alu_sequencer.sv -- haven_input_transaction.sv -- haven_output_transaction.sv. -- haven_sequence_item.sv -- simulation.fdo // spuštění simulace -- sv_alu_seq_pkg.sv -- test_parameters.sv // nastavení parametrů testu -- top_level.sv
Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti
Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 2 METODY VERIFIKACE SYSTÉMŮ NA ČIPU II doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii
9. Praktická verifikace
Fakulta informačních technologií MI-NFA, zimní semestr 2011/2012 Jan Schmidt 9. Praktická verifikace EVROPSKÝ SOCIÁLNÍ FOND PRAHA & EU: INVESTUJENE DO VAŠÍ BUDOUCNOSTI Pravidla, postupy Testovací prostředí
12. VHDL pro verifikaci - Testbench I
Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti 12. VHDL pro verifikaci - Testbench I Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních
... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu
Předmět Ústav Úloha č. 10 BDIO - Digitální obvody Ústav mikroelektroniky Komplexní příklad - návrh řídicí logiky pro jednoduchý nápojový automat, kombinační + sekvenční logika (stavové automaty) Student
Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:
Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí
Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE
Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí
Verifikace číslicových obvodů
Verifikace číslicových obvodů Marcela Šimková, Michal Kajan Fakulta informačních technologií Vysoké učení technické v Brně Pokročilé číslicové systémy 6.12.2012 UPSY FIT VUT v Brně Tento materiál vznikl
Projekt: Přístupový terminál
Projekt: Přístupový terminál 1. Zadání 1. Seznamte se s přípravkem FITKit a způsobem připojení jeho periférií, zejména klávesnice a LCD displeje. 2. Prostudujte si zdrojové kódy projektu v jazyce VHDL.
MS Word pro administrátory projektů Pokročilí
MS Word pro administrátory projektů Pokročilí Konání kurzu financováno z Operačního programu Vzdělávání pro konkurenceschopnost ZVYŠOVÁNÍ IT GRAMOTNOSTI ZAMĚSTNANCŮ VYBRANÝCH FAKULT MU Registrační číslo:
SEMESTRÁLNÍ PROJEKT Y38PRO
SEMESTRÁLNÍ PROJEKT Y38PRO Závěrečná zpráva Jiří Pomije Cíl projektu Propojení regulátoru s PC a vytvoření knihovny funkcí pro práci s regulátorem TLK43. Regulátor TLK43 je mikroprocesorový regulátor s
Verifikace číslicových obvodů
Verifikace číslicových obvodů Marcela Šimková, Michal Kajan Fakulta informačních technologií Vysoké učení technické v Brně 6.12.2012 UPSY FIT VUT v Brně Tento materiál vznikl za podpory Fondu rozvoje vysokých
Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti
Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 7 ČASOVÁNÍ A SYNCHRONIZACE TECHNICKÉHO VYBAVENÍ doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních
Příprava dat v softwaru Statistica
Příprava dat v softwaru Statistica Software Statistica obsahuje pokročilé nástroje pro přípravu dat a tvorbu nových proměnných. Tyto funkcionality přinášejí značnou úsporu času při přípravě datového souboru,
Koncept pokročilého návrhu ve VHDL. INP - cvičení 2
Koncept pokročilého návrhu ve VHDL INP - cvičení 2 architecture behv of Cnt is process (CLK,RST,CE) variable value: std_logic_vector(3 downto 0 if (RST = '1') then value := (others => '0' elsif (CLK'event
Příklady popisu základních obvodů ve VHDL
Příklady popisu základních obvodů ve VHDL INP - cvičení 2 Michal Bidlo, 2008 bidlom@fit.vutbr.cz entity Circuit is port ( -- rozhraní obvodu ); end Circuit; Proces architecture Behavioral of Circuit is
5. Sekvenční logické obvody
5. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody - příklad asynchronního sekvenčního obvodu 3.
1 Digitální zdroje. 1.1 Převod digitálních úrovní na analogový signál. Cílem cvičení je osvojení práce s digitálními zdroji signálu.
1 Digitální zdroje Cílem cvičení je osvojení práce s digitálními zdroji signálu. Cíle cvičení Převod digitálních úrovní na analogový signál Digitální zdroj signálu a BCD dekodér Čítač impulsů Dělička frekvence
SYSTÉMY NAČIPU MI-SOC
Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti SYSTÉMY NAČIPU MI-SOC doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii ČVUT v Praze Hana Kubátová
Vysoké učení technické v Brně Fakulta informačních technologií ITP Technika personálních počítačů Služby ROM BIOS a BootROM
Vysoké učení technické v Brně Fakulta informačních technologií ITP Technika personálních počítačů Služby ROM BIOS a BootROM Úloha č.: 5. Zadání: 1. Seznamte se s konstrukcí cvičné zásuvné adaptérové desky
Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student
Předmět Ústav Úloha č. 9 BIO - igitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Cíle Pochopení funkce obvodu pro odstranění zákmitů na
Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011
Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické
1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/).
Zadání: Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Technika personálních počítačů, cvičení ITP FITkit Řízení 7mi-segmentového displeje Úloha č. 3. 1. Seznamte se s výukovou
VISUAL BASIC. Přehled témat
VISUAL BASIC Přehled témat 1 ÚVOD DO PROGRAMOVÁNÍ Co je to program? Kuchařský předpis, scénář k filmu,... Program posloupnost instrukcí Běh programu: postupné plnění instrukcí zpracovávání vstupních dat
7. Pracovní postupy. Fakulta informačních technologií MI-NFA, zimní semestr 2011/2012 Jan Schmidt
Fakulta informačních technologií MI-NFA, zimní semestr 2011/2012 Jan Schmidt EVROPSKÝ SOCIÁLNÍ FOND PRAHA & EU: INVESTUJENE DO VAŠÍ BUDOUCNOSTI 7. Pracovní postupy Posloupnosti analytických a syntetických
1 Smíšené digitálně-analogové simulace
1 Smíšené digitálně-analogové simulace Cílem cvičení je osvojení práce s analogově-digitálními obvody a komplexní realizací modelu součástky na základě blokového schématu. Cíle cvičení Integrující AD převodník
Word Lekce III. a IV.
Word 2007 Lekce III. a IV. Záložní kopie Povolení a nastavení automatického obnovení a automatického uložení může být žádoucí ve chvíli, kdy aplikace Word nahlásí neočekávanou chybu, kolizi aplikace a
EXTRAKT z technické normy ISO
EXTRAKT z technické normy ISO Extrakt nenahrazuje samotnou technickou normu, je pouze informativním materiálem o normě. Inteligentní dopravní systémy Kooperativní ITS Zkušební architektura ISO/TS 20026
Cíle. Teoretický úvod
Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních
Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti
Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 2 KOMUNIKACE NAČIPU, LATENCE, PROPUSTNOST, ARCHITEKTURY doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních
3. Sekvenční logické obvody
3. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody příklad sekv.o. Příklad sledování polohy vozíku
ZÁKLADY PROGRAMOVÁNÍ. Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14
ZÁKLADY PROGRAMOVÁNÍ Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14 Co je vhodné vědět, než si vybereme programovací jazyk a začneme programovat roboty. 1 / 14 0:40 1.3. Vliv hardware počítače na programování Vliv
DOPRAVA V POHYBU PRODUKT KERBERUS
DOPRAVA V POHYBU PRODUKT KERBERUS Kerberus Základní informace Software řídícího systému Kerberus je určen pro řízení technolog. vybavení tunelových staveb (vzduchotechnika, osvětlení, vodní hospodářství,
Architektura počítačů Logické obvody
Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální
Architektura počítačů Logické obvody
Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální
Návod k obsluze výukové desky CPLD
Návod k obsluze výukové desky CPLD FEKT Brno 2008 Obsah 1 Úvod... 3 2 Popis desky... 4 2.1 Hodinový signál... 5 2.2 7- Segmentový displej... 5 2.3 LED zobrazení... 6 2.4 Přepínače... 6 2.5 PORT 1 - Externí
Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač
FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač (Řídící elektronika BREB) Autoři textu: doc. Dr. Ing. Miroslav
Testování a spolehlivost. 3. Laboratoř Program Atalanta, BIST, testování sekvenčních obvodů
Testování a spolehlivost ZS 2011/2012 3. Laboratoř Program Atalanta, BIST, testování sekvenčních obvodů Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Příprava studijního programu
Počítačové sítě Systém pro přenos souborů protokol FTP
Počítačové sítě Systém pro přenos souborů protokol FTP Autorizovaný přístup do souborového systému hostitelského uzlu Informace o obsahu souborového systému hostitelského uzlu Obousměrný přenos kopií souborů
SEKVENČNÍ LOGICKÉ OBVODY
Sekvenční logický obvod je elektronický obvod složený z logických členů. Sekvenční obvod se skládá ze dvou částí kombinační a paměťové. Abychom mohli určit hodnotu výstupní proměnné, je potřeba u sekvenčních
Úvod do jazyka VHDL. Jan Kořenek korenek@fit.vutbr.cz. Návrh číslicových systémů 2007-2008
Úvod do jazyka VHDL Návrh číslicových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Jak popsat číslicový obvod Slovně Navrhněte (číslicový) obvod, který spočte sumu všech členů dané posloupnosti slovní
Pohled do nitra mikroprocesoru Josef Horálek
Pohled do nitra mikroprocesoru Josef Horálek Z čeho vycházíme = Vycházíme z Von Neumannovy architektury = Celý počítač se tak skládá z pěti koncepčních bloků: = Operační paměť = Programový řadič = Aritmeticko-logická
Simulace číslicových obvodů: úvod Jakub Šťastný ASICentrum, s.r.o. Katedra teorie obvodů FEL ČVUT Praha
Tento článek je původním rukopisem textu publikovaného v časopise DPS Elektronika A-Z: J. Šťastný. Simulace číslicových obvodů: úvod, DPS Elektronika od A do Z, pp. 23-27, leden/únor 2015. Bez souhlasu
Praktické úlohy- 2.oblast zaměření
Praktické úlohy- 2.oblast zaměření Realizace praktických úloh zaměřených na dovednosti v oblastech: Měření specializovanými přístroji, jejich obsluha a parametrizace; Diagnostika a specifikace závad, měření
Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti
Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 11 METODY VERIFIKACE SYSTÉMŮ NA ČIPU Hana Kubátov vá doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta 1 informačních
xrays optimalizační nástroj
xrays optimalizační nástroj Optimalizační nástroj xoptimizer je součástí webového spedičního systému a využívá mnoho z jeho stavebních bloků. xoptimizer lze nicméně provozovat i samostatně. Cílem tohoto
Sekvenční logické obvody
Sekvenční logické obvody Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou Sekvenční obvody - paměťové členy, klopné obvody flip-flop Asynchronní klopné obvody
5. A/Č převodník s postupnou aproximací
5. A/Č převodník s postupnou aproximací Otázky k úloze domácí příprava a) Máte sebou USB flash-disc? b) Z jakých obvodů se v principu skládá převodník s postupnou aproximací? c) Proč je v zapojení použit
Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.
Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Stavové automaty enkódování Proces, který rozhoduje kolik paměťových prvků bude využito v paměťové části. Binární enkódování je nejpoužívanější. j počet stavů
PODOBÁ SE JAZYKU C S NĚKTERÝMI OMEZENÍMI GLOBÁLNÍ PROMĚNNÉ. NSWI162: Sémantika programů 2
PI JE JEDNODUCHÝ IMPERATIVNÍ PROGRAMOVACÍ JAZYK OBSAHUJE PODPORU ANOTACÍ NEOBSAHUJE NĚKTERÉ TYPICKÉ KONSTRUKTY PROGRAMOVACÍCH JAZYKŮ JAKO JSOU REFERENCE, UKAZATELE, GLOBÁLNÍ PROMĚNNÉ PODOBÁ SE JAZYKU C
Přednáška - Čítače. 2013, kat. měření, ČVUT - FEL, Praha J. Fischer. A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1
Přednáška - Čítače 2013, kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1 Náplň přednášky Čítače v MCU forma, principy činnosti A3B38MMP, 2013, J.Fischer,
Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM UMEL FEKT Šteffan Pavel
Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM 17.3.2009 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí... 3 2 Otevření projektu... 3 3 Tvorba elektrického schématu... 6 4 Přiřazení
Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i
Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i 1 Spuštění návrhového prostředí Spusťte návrhové prostředí Xilinx ISE 9.2 pomoci ikony na ploše Xilinx ISE 9.2. 2 Otevření projektu a. Klikněte na položku
24-2-2 PROMĚNNÉ, KONSTANTY A DATOVÉ TYPY TEORIE DATUM VYTVOŘENÍ: 23.7.2013 KLÍČOVÁ AKTIVITA: 02 PROGRAMOVÁNÍ 2. ROČNÍK (PRG2) HODINOVÁ DOTACE: 1
24-2-2 PROMĚNNÉ, KONSTANTY A DATOVÉ TYPY TEORIE AUTOR DOKUMENTU: MGR. MARTINA SUKOVÁ DATUM VYTVOŘENÍ: 23.7.2013 KLÍČOVÁ AKTIVITA: 02 UČIVO: STUDIJNÍ OBOR: PROGRAMOVÁNÍ 2. ROČNÍK (PRG2) INFORMAČNÍ TECHNOLOGIE
Canon Controller. Komunikační protokol. Řídicí jednotka k objektivům Canon EF/EF-S
Řídicí jednotka k objektivům Canon EF/EF-S Komunikační protokol ATEsystem s.r.o. Studentská 6202/17 708 00 Ostrava-Poruba Česká republika M +420 595 172 720 E produkty@atesystem.cz W www.atesystem.cz INFORMACE
Architektura informačních systémů. - dílčí architektury - strategické řízení taktické řízení. operativní řízení a provozu. Globální architektura
Dílčí architektury Informační systémy - dílčí architektury - EIS MIS TPS strategické řízení taktické řízení operativní řízení a provozu 1 Globální Funkční Procesní Datová SW Technologická HW Aplikační
Algoritmizace prostorových úloh
INOVACE BAKALÁŘSKÝCH A MAGISTERSKÝCH STUDIJNÍCH OBORŮ NA HORNICKO-GEOLOGICKÉ FAKULTĚ VYSOKÉ ŠKOLY BÁŇSKÉ - TECHNICKÉ UNIVERZITY OSTRAVA Algoritmizace prostorových úloh Algoritmus Daniela Szturcová Tento
Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student
Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,
Moduly MicroUnit serie. všechny typy s výjimkou řady MU-43x, MU-44x a MU-84x
MicroUnit implementace protokolu Modbus Dokument: MicroUnit_Implementace_Modbus / v. 3.01 / 14.12.2016 Moduly MicroUnit serie všechny typy s výjimkou řady MU-43x, MU-44x a MU-84x implementace protokolu
Django. Webový framework pro Python Projekt = webová stránka Aplikace = určitá funkcionalita webu
Django Django Webový framework pro Python Projekt = webová stránka Aplikace = určitá funkcionalita webu Instalace Django ve Windows Nutné mít nainstalovaný Python Ověříte příkazem py --version Stáhnout
Semestrální práce z předmětu Speciální číslicové systémy X31SCS
Semestrální práce z předmětu Speciální číslicové systémy X31SCS Katedra obvodů DSP16411 ZPRACOVAL: Roman Holubec Školní rok: 2006/2007 Úvod DSP16411 patří do rodiny DSP16411 rozšiřuje DSP16410 o vyšší
Zadání semestrálního projektu Algoritmy II. letní semestr 2017/2018
Zadání semestrálního projektu Algoritmy II. letní semestr 2017/2018 doc. Mgr. Jiří Dvorský, Ph.D. Verze zadání 6. dubna 2018 První verze Obecné pokyny 1. Celkem jsou k dispozici tři zadání příkladů. 2.
Vstupně - výstupní moduly
Vstupně - výstupní moduly Přídavná zařízení sloužící ke vstupu a výstupu dat bo k uchovávání a archivaci dat Nejsou připojována ke sběrnici přímo, ale prostřednictvím vstupně-výstupních modulů ( ů ). Hlavní
PŘÍLOHA C Požadavky na Dokumentaci
PŘÍLOHA C Požadavky na Dokumentaci Příloha C Požadavky na Dokumentaci Stránka 1 z 5 1. Obecné požadavky Dodavatel dokumentaci zpracuje a bude dokumentaci v celém rozsahu průběžně aktualizovat při každé
VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ
VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION ÚSTAV MIKROELEKTRONIKY DEPARTMENT OF
15. Projekt Kalkulačka
Projekt Kalkulačka strana 143 15. Projekt Kalkulačka 15.1. Základní popis, zadání úkolu Pracujeme na projektu Kalkulačka, který je ke stažení na java.vse.cz. Po otevření v BlueJ vytvoříme instanci třídy
KNIHOVNA MODELŮ TECHNOLOGICKÝCH PROCESŮ
KNIHOVNA MODELŮ TECHNOLOGICKÝCH PROCESŮ Radim Pišan, František Gazdoš Fakulta aplikované informatiky, Univerzita Tomáše Bati ve Zlíně Nad stráněmi 45, 760 05 Zlín Abstrakt V článku je představena knihovna
NP-úplnost problému SAT
Problém SAT je definován následovně: SAT(splnitelnost booleovských formulí) Vstup: Booleovská formule ϕ. Otázka: Je ϕ splnitelná? Příklad: Formule ϕ 1 =x 1 ( x 2 x 3 )jesplnitelná: např.přiohodnocení ν,kde[x
Model Checking pro Timed Automata. Jiří Vyskočil 2011
Model Checking pro Timed Automata Jiří Vyskočil 2011 Časově kritické systémy korektnost fungování vestavěným a distribuovaných systémů závisí na: správném výsledku výpočtu správném načasování prováděných
Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.
Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Klopné obvody jsou nejjednodušší sekvenční součástky Záleží na předcházejícím stavu Asynchronní klopné obvody reagují na změny vstupu okamžitě Synchronní
Princip funkce počítače
Princip funkce počítače Princip funkce počítače prvotní úlohou počítačů bylo zrychlit provádění matematických výpočtů první počítače kopírovaly obvyklý postup manuálního provádění výpočtů pokyny pro zpracování
Systém řízení sběrnice
Systém řízení sběrnice Sběrnice je komunikační cesta, která spojuje dvě či více zařízení. V určitý okamžik je možné aby pouze jedno z připojených zařízení vložilo na sběrnici data. Vložená data pak mohou
2. Modelovací jazyk UML 2.1 Struktura UML 2.1.1 Diagram tříd 2.1.1.1 Asociace 2.1.2 OCL. 3. Smalltalk 3.1 Jazyk 3.1.1 Pojmenování
1. Teoretické základy modelování na počítačích 1.1 Lambda-kalkul 1.1.1 Formální zápis, beta-redukce, alfa-konverze 1.1.2 Lambda-výraz jako data 1.1.3 Příklad alfa-konverze 1.1.4 Eta-redukce 1.2 Základy
NSWI /2011 ZS. Principy cpypočítačůčů aoperačních systémů ARCHITEKTURA
Principy cpypočítačůčů aoperačních systémů ARCHITEKTURA Literatura W.Stallings: Computer Organization & Architecture J.L.Hennessy, P.A.Patterson: Patterson: Computer Architecture: a Quantitative Approach
Národní šetření výsledků žáků v počátečním vzdělávání
Projekt NIQES Národní šetření žáků v počátečním vzdělávání Národní šetření výsledků žáků v počátečním vzdělávání Druhá celoplošná generální zkouška Název souboru: CP2-Procesy_přípravy_a_realizace_V3.doc
Vytvořil Institut biostatistiky a analýz, Masarykova univerzita J. Jarkovský, L. Dušek, M. Cvanová. 5. Statistica
Vytvořil Institut biostatistiky a analýz, Masarykova univerzita J. Jarkovský, L. Dušek, M. Cvanová 5. Statistica StatSoft, Inc., http://www.statsoft.com, http://www.statsoft.cz. Verze pro Mac i PC, dostupná
Jako pomůcka jsou v pravém dolním rohu vypsány binární kódy čísel od 0 do 15 a binární kódy příkazů, které máme dispozici (obr.21). Obr.
Model procesoru Jedná se o blokové schéma složené z registrů, paměti RAM, programového čítače, instrukčního registru, sčítačky a řídicí jednotky, které jsou propojeny sběrnicemi. Tento model má dva stavy:
Modelování a simulace Lukáš Otte
Modelování a simulace 2013 Lukáš Otte Význam, účel a výhody MaS Simulační modely jsou nezbytné pro: oblast vědy a výzkumu (základní i aplikovaný výzkum) analýzy složitých dyn. systémů a tech. procesů oblast
Knihovna RecDBXLib ZÁZNAMY V DATABOXU TXV 003 49.01
PROGRAMOVATELNÉ AUTOMATY Knihovna RecDBXLib ZÁZNAMY V DATABOXU TXV 003 49.01 KNIHOVNA RecDBXLib DATABÁZE V DATABOXU 2. vydání řijen 2008 OBSAH 1. ÚVOD...3 2. KNIHOVNA RecDBXLib DATABÁZE V DATABOXU...4
Tlačítka. Konektor programování
Programovatelné logické pole Programovatelné logické pole jsou široce využívanou a efektivní cestou pro realizaci rozsáhlých kombinačních a sekvenčních logických obvodů. Jejich hlavní výhodou je vysoký
Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM UMEL FEKT Šteffan Pavel
Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM 12.3.2011 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí...3 2 Otevření projektu...3 3 Tvorba elektrického schématu...6 4 Přiřazení
3. Software Bakaláři Kompletní školení
1. Software Bakaláři Aplikace spisová služba a Kniha úrazů 1. Jak nainstalovat aplikace 2. Spisová služba Legislativní východiska (zákon o archivnictví a příslušné vyhlášky) Karta spisové služby popis
Příloha: Dodatečné informace, včetně přesného znění žádosti dodavatele o dodatečné informace
Příloha: Dodatečné informace, včetně přesného znění žádosti dodavatele o dodatečné informace Pořadové číslo dodatečných informací: 14. ČÁST 1: Přesné znění žádosti dodavatele o dodatečné informace Otázka
1/1 ČESKÁ ZEMĚDĚLSKÁ UNIVERZITA V PRAZE PROVOZNĚ EKONOMICKÁ FAKULTA PŘIJÍMACÍ ŘÍZENÍ 2017/2018
ČESKÁ ZEMĚDĚLSKÁ UNIVERZITA V PRAZE PROVOZNĚ EKONOMICKÁ FAKULTA PŘIJÍMACÍ ŘÍZENÍ 2017/2018 Informační technologie 1 - Doporučená doba zpracování: 40 minut 1) Termín DCL v relačně databázové technologii
Vytvoření portálu odboru strukturálních fondů Ministerstva vnitra a zajištění jeho hostingu na serveru dodavatele
MINISTERSTVO VNITRA odbor strukturálních fondů č.j. MV- 82945-5 /OSF Praha dne 24. listopadu 2009 Počet listů: 5 Odpověď zadavatele na otázky ze dne 20. listopadu 2009 k Zadávací dokumentaci na veřejnou
Laboratorní cvičení z předmětu Elektrická měření 2. ročník KMT
MĚŘENÍ S LOGICKÝM ANALYZÁTOREM Jména: Jiří Paar, Zdeněk Nepraš Datum: 2. 1. 2008 Pracovní skupina: 4 Úkol: 1. Seznamte se s ovládáním logického analyzátoru M611 2. Dle postupu měření zapojte pracoviště
Algoritmizace prostorových úloh
INOVACE BAKALÁŘSKÝCH A MAGISTERSKÝCH STUDIJNÍCH OBORŮ NA HORNICKO-GEOLOGICKÉ FAKULTĚ VYSOKÉ ŠKOLY BÁŇSKÉ - TECHNICKÉ UNIVERZITY OSTRAVA Algoritmizace prostorových úloh Algoritmus Daniela Szturcová Tento
Profilová část maturitní zkoušky 2014/2015
Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2014/2015 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: technika
Obsah přednášky. programovacího jazyka. Motivace. Princip denotační sémantiky Sémantické funkce Výrazy Příkazy Vstup a výstup Kontinuace Program
Denotační sémantika programovacího jazyka doc. Dr. Ing. Miroslav Beneš katedra informatiky, A-1007 59 732 4213 Obsah přednášky Princip denotační sémantiky Sémantické funkce Výrazy Příkazy Vstup a výstup
Popis programu: Popis přípon důležitých souborů: *.qpf projektový soubor Quartusu
Software Quartus II Popis programu: Quartus II Web Edition je označení bezplatného software, s jehož pomocí lze napsat, zkompilovat, odsimulovat a naprogramovat FPGA a CPLD obvody firmy Altera. Cílem tohoto
Úvod do MS Access. Modelování v řízení. Ing. Petr Kalčev
Úvod do MS Access Modelování v řízení Ing. Petr Kalčev Postup při tvorbě aplikace Vytvoření tabulek Vytvoření relací Vytvoření dotazů Vytvoření formulářů Vytvoření sestav Tabulky Slouží k definování polí,
Novinky v Solid Edge ST7
Novinky v Solid Edge ST7 Primitiva Nově lze vytvořit základní geometrii pomocí jednoho příkazu Funkce primitiv je dostupná pouze v synchronním prostředí Těleso vytvoříme ve dvou navazujících krocích, kde
Stanovení odolnosti datového spoje
ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická ÚLOHA A Stanovení odolnosti datového spoje Vypracoval: V rámci předmětu: Jan HLÍDEK Základy datové komunikace (X3ZDK) Měřeno: 1. 4. 008 Cvičení:
9.3.2010 Program převod z desítkové na dvojkovou soustavu: /* Prevod desitkove na binarni */ #include <stdio.h>
9.3.2010 Program převod z desítkové na dvojkovou soustavu: /* Prevod desitkove na binarni */ #include int main(void) { int dcislo, kolikbcislic = 0, mezivysledek = 0, i; int vysledek[1000]; printf("zadejte
LabView jako programovací jazyk II
LabView jako programovací jazyk II - Popis jednotlivých funkcí palety Function I.část - Expresní funkce, struktury, Ing. Martin Bušek, Ph.D. Paleta Functions Základní prvky pro tvorbu programu blokového
GIS Geografické informační systémy
GIS Geografické informační systémy Obsah přednášky Prostorové vektorové modely Špagetový model Topologický model Převody geometrií Vektorový model Reprezentuje reálný svět po jednotlivých složkách popisu
Přednáška. Vstup/Výstup. Katedra počítačových systémů FIT, České vysoké učení technické v Praze Jan Trdlička, 2012
Přednáška Vstup/Výstup. Katedra počítačových systémů FIT, České vysoké učení technické v Praze Jan Trdlička, 2012 Příprava studijního programu Informatika je podporována projektem financovaným z Evropského
2015 GEOVAP, spol. s r. o. Všechna práva vyhrazena.
2015 GEOVAP, spol. s r. o. Všechna práva vyhrazena. GEOVAP, spol. s r. o. Čechovo nábřeží 1790 530 03 Pardubice Česká republika +420 466 024 618 http://www.geovap.cz V dokumentu použité názvy programových