SWI120 ZS 2010/2011. hookey.com/digital/

Podobné dokumenty
Architektura počítačů Logické obvody

Architektura počítačů Logické obvody


Sekvenční logické obvody

Principy počítačů a operačních systémů

29.z-9.plo ZS 2015/2016

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Aritmetika s didaktikou I.

Sekvenční logické obvody

Úvod do informačních technologií

Úvod do informačních technologií

Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Tematická oblast ELEKTRONIKA

ŘÍZENÍ FYZIKÁLNÍHO PROCESU POČÍTAČEM

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/

12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace.

Inovace a zkvalitnění výuky prostřednictvím ICT Základy programování a algoritmizace úloh. Ing. Hodál Jaroslav, Ph.D. VY_32_INOVACE_25 09

Struktura a architektura počítačů (BI-SAP) 12

4. Elektronické logické členy. Elektronické obvody pro logické členy

Úloha K1. Spouštěni elektromotoru.

Kubatova Y36SAP procesor - control unit obvodový a mikroprogramový řadič RISC Y36SAP-control unit 1

Testování jednotky ALU a aplikace metody FMEA

paměti typicky je 1 bit 8 bitů 16 bitů 1 KB je 1000 B 1048 b 1024 B 2 10 bajtů je 1 KB 128 KB 512 KB 1 MB 2 16 bajtů je 24 KB 32 KB 64 KB 128 KB

Sekvenční obvody. S R Q(t+1) 0 0? Q(t)

STRUKTURA POČÍTAČŮ JIŘÍ HRONEK, JIŘÍ MAZURA KATEDRA INFORMATIKY PŘÍRODOVĚDECKÁ FAKULTA UNIVERZITA PALACKÉHO

Procesor. Základní prvky procesoru Instrukční sada Metody zvýšení výkonu procesoru

Číselné soustavy Ing. M. Kotlíková, Ing. A. Netrvalová Strana 1 (celkem 7) Číselné soustavy

SČÍTAČKA, LOGICKÉ OBVODY ÚVOD TEORIE

Operace ALU. INP 2008 FIT VUT v Brně

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1.

Architektura počítače

BI-JPO. (Jednotky počítače) B. Sčítáníaodčítání

Převodníky analogových a číslicových signálů

Miroslav Flídr Počítačové systémy LS /21- Západočeská univerzita v Plzni

Příklady popisu základních obvodů ve VHDL

LOGICKÉ OBVODY X36LOB

a operačních systémů

Binární logika Osnova kurzu

Booleova algebra. ZákonyBooleovy algebry Vyjádření logických funkcí

Strojový kód. Instrukce počítače

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

VÝUKOVÝ MATERIÁL. Bratislavská 2166, Varnsdorf, IČO: tel Číslo projektu

Y36SAP - aritmetika. Osnova

Využití ICT pro rozvoj klíčových kompetencí CZ.1.07/1.5.00/

Základy číslicové techniky z, zk

2010/2011 ZS. z HW pohledu 640 kb ought to be enough for anybody. Bill Gates, 1981


Projekt realizovaný na SPŠ Nové Město nad Metují. s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje

DUM 02 téma: Elementární prvky logiky výklad

Převodníky AD a DA. AD a DA. Převodníky AD a DA. Základní charakteristika

Booleovy algebry. Irina Perfilieva. logo

Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/ Číselné soustavy

Vítězslav Bártl. březen 2013

Pohled do nitra mikroprocesoru Josef Horálek

KOMBINAČNÍ LOGICKÉ OBVODY

Obsah DÍL 1. Předmluva 11

Instrukční sada pro používání ControlLogix a CompactLogix výňatek

1. Programování PLC. Programovatelné automaty II - 1 -

Programovatelné logické obvody



Číslicové obvody základní pojmy

Aritmetika s velkými čísly na čipové kartě

Booleova algebra. Logická proměnná. Booleova algebra

Univerzita Tomáše Bati ve Zlíně

Automatizace 2 3. Pneumatické ízení pneumatika stla eného vzduchu etlaku Vlastnosti pneumatických pohon dopravovat vedením uchovávat v zásobnících

1 z :27

PROTOKOL O LABORATORNÍM CVIČENÍ

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ

Inovace bakalářského studijního oboru Aplikovaná chemie. Reg. č.: CZ.1.07/2.2.00/

V počítači jsou jen jednotky a nuly

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus

L A B O R A T O R N Í C V I Č E N Í

Matice a maticová algebra, soustavy lineárních rovnic, kořeny polynomu a soustava nelin.rovnic

2.7 Binární sčítačka Úkol měření:

Způsoby realizace této funkce:

Jazyk VHDL zápis čísel, znaků a řetězců. Jazyk VHDL základní datové typy a operátory. Kurz A0B38FPGA Aplikace hradlových polí

Procesor. Procesor FPU ALU. Řadič mikrokód

Sada 2 - MS Office, Excel

Struktura a architektura počítačů (BI-SAP) 3

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/

XXXIII Celostátní olympiáda znalostí elektriky a elektroniky Krosno 25. března 2010 TEST PRO ELEKTRONICKOU SKUPINU

AUTORKA Barbora Sýkorová

Středoškolská technika Návrh procesorového jádra

Číselnésoustavy, sčítáníasčítačky

DUM 10 téma: Stavová tabulka výklad

Typy a použití klopných obvodů

MODERNIZACE VÝUKY PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ

Logické řízení. Náplň výuky

Principy počítačů a operačních systémů

Sylabus kurzu Elektronika

Zkouškové otázky z A7B31ELI

LOGICKÉ OBVODY

evodníky Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření Přednáška č. 14 Milan Adámek adamek@fai.utb.cz U5 A

Struktura a architektura počítačů (BI-SAP) 4

Signálové a mezisystémové převodníky

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3)

Vstupně - výstupní moduly

4.6.6 Složený sériový RLC obvod střídavého proudu

Transkript:

Principy cpypočítačů počítačů a operačních systémů Číslicové systémy

Literatura http://www.play hookey.com/digital/

Digitální počítač Dnes obvykle binární elektronický 2 úrovně napětí, 2 logické hodnoty vysoká/nízká, true/false, 1/0 ale jsou i jiné možnosti dekadický, ternární analogový, hybridní... i technologie mechanický, hydraulický,

Číslicové systémy (1) Kombinační obvody funkce logických proměnných výstup závisí pouze na aktuálním vstupu (nemá paměť) Jednoduché funkční bloky multiplexory, demultiplexory kodéry, dekodéry Složitější funkční bloky části ALU,

Číslicové systémy (2) Sekvenční obvody kombinační obvody + paměťové prvky paměťové prvky udržují stav vstup a aktuální stav určuje výstup a následující stav Paměťový prvek 1 Kombinační obvod Paměťový prvek 2 synchronní/asynchronní způsob ů a okamžik změny ě stavu

Číslicové systémy (3) Synchronní sekvenční obvody hodinový signál synchronizuje změny stavu náběžná/sestupná hrana, perioda Hodinový signál změna stavu během jednoho cyklu Paměťový prvek Kombinační obvod

Číslicové systémy (4) Booleova algebra algebraický zápis logických výrazů manipulace s výrazy axiomy, zákony, pravidla Asociativita, distributivita, komutativita,

Číslicové systémy (4) Logické obvody realizace logických funkcí hradla realizující základní funkce NOT, OR, AND A A A B A+B A B A B Hradla realizující ovozené funkce NOR, NAND, XOR A A A A+B A B B B B A B

Číslicové systémy (5) Praktická realizace Booleova algebra signály=proměnné, hradla=operátory teoreticky stačí NOT + 1 základní operace pouze hradla NAND/NOR NAND/NOR zahrnuje negaci závisí na technologií výroby

Logická realizace součtu (1) Poloviční sčítačka součet dvou 1 bitových čísel vstupy: operand a,, operand b výstupy: součet s, přenos c bez přenosu z nižšího řádu součet jako logická funkce s = a XOR b c = a AND b a b s c 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 a b XOR AND 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

Logická realizace součtu (2) Poloviční sčítačka funkční blok logický obvod a b a b c ½ c AND s ½ XOR s

Logická realizace součtu (3) Úplná sčítačka součet čísel a přenosu z nižšího řádu 3 vstupy: čísla a,, b,, přenos z nižšího řádu c i 2 výstupy: součet s, přenos do vyššího řádu c o realizuje součet tří čísel s = a + b + c i = (a + b) + c i přenosy vznikají při obou součtech c i a b s c o 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

Logická realizace součtu (4) Úplná sčítačka (a + b) výsledný přenos s 1 = a XOR b a b s c c 1 = a AND b c = c 1 OR c 2 c 1 c 2 c a b s o 1 c 1 1 2 0 0 0 0 0 0 0 0 1 1 0 0 1 1 1 0 1 0 1 0 1 1 1 0 1 1 1 1 (a + b) + c a b s i 0 0 0 0 0 0 0 1 1 0 s = s 1 XOR c i 0 1 0 1 0 c 2 = s 1 AND c c i s 1 s c 2 i 0 1 1 0 1 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 c i c o 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

Logická realizace součtu (5) Úplná sčítačka funkční blok a b a b c1 ½ c o c i c o OR c i s vnitřní struktura c 2 ½ s

Logická realizace součtu (6) Úplná sčítačka a b logický obvod c 1 AND c o OR XOR c i c 2 AND XO OR s

Logická realizace součtu (7) Sčítačka dvou n bitových čísel funkční blok a b vnitřní struktura add s a n 1 b n 1 a 1 b 1 a 0 b 0 c 0 n bit s n 1 s 1 s 0

Logická realizace rozdílu 2 bitová sčítačka/odčítačka a 1 b 1 a 0 b 0 a b 0 0 0 0 1 1 1 0 1 1 1 0 XOR XOR SUB c 2 bit s 1 s 0

Paměťové prvky (1) Klopný obvod typu R S (latch) S Q R Q R Q S Q R S Q n Q n NAND a b NOR R S Q n Q n 0 0?? 1 0 0 1 0 0 Q n 1 Q n 1 0 1 0 1 1 0 1 0 0 1 1 0 1 0 1 0 1 1 0 0 1 0 0 1 1 1 Q 0 1 1 0 n 1 Q n 1 1 1??

Paměťové prvky (2) Složitější klopné obvody R S s hodinovým vstupem (clocked R S latch) R S master/slave (R S flip flop) flop) J K master/slave (J K flip flop) umí invertovat vlastní stav Odvozené obvody a značení D latch, D flip flop, T flip flop S Q J Q D Q Q T R Q K Q Q Q

Paměťové prvky (3) n bitový registr blok klopných obvodů typu D řízených stejným hodinovým signálem vstupy: data d n 1... d 0, hodiny clk, výstupy: data q n 1... q 0 Posuvný registr 0 D Q D Q D Q D Q CLK

Logická realizace násobení (1) 32 bitová sekvenční násobička A 32 bit 64 bit A B Shift Right Write Control Test

Logická realizace násobení (2) A b A b A b A b 31 2 1 0 32 bit 32 bit 32 bit p 63 32 p 31 p 2 p 1 p 0

Logická realizace dělení 32 bitová sekvenční dělička B 32 bit A mod B 64 bit Shift Right Shift Left Write A div B Control Test

Aritmeticko logická jednotka Vstupy operandy operace: sčítání, odčítání, násobení,..., porovnání Výstupy příznaky: přenos, nulový výsledek operand A operand B výsledek příznaky ALU operace výsledek