Návrh ovládání zdroje ATX



Podobné dokumenty
Příklady popisu základních obvodů ve VHDL

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2

1. Seznamte se s výukovou platformou FITkit (

Návod k obsluze výukové desky CPLD

SEKVENČNÍ LOGICKÉ OBVODY

Návrh. číslicových obvodů

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Sekvenční logické obvody

Struktura a architektura počítačů (BI-SAP) 3

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Souhrn Apendixu A doporučení VHDL

Úvod do jazyka VHDL. Jan Kořenek Návrh číslicových systémů

Jazyk VHDL konstanty, signály a proměnné. Jazyk VHDL paralelní a sekvenční doména. Kurz A0B38FPGA Aplikace hradlových polí

3. Sekvenční logické obvody

Číslicové obvody a jazyk VHDL

5. Sekvenční logické obvody

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Manuál přípravku FPGA University Board (FUB)


Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

7. Popis konečného automatu

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

12. VHDL pro verifikaci - Testbench I

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty.

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu

Násobičky, Boothovo překódování. Demonstrační cvičení 7

Návrh základních kombinačních obvodů: dekodér, enkodér, multiplexor, demultiplexor

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student

Návrh čítače jako automatu

Cíle. Teoretický úvod

Pokročilé využití jazyka VHDL. Pavel Lafata

Struktura a architektura počítačů

2.9 Čítače Úkol měření:

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu

Registry a čítače část 2

Základy logického řízení

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1

Číslicový zobrazovač CZ 5.7

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Systém řízení Autoklávu

Na trh byl uveden v roce 1971 firmou Signetics. Uvádí se, že označení 555 je odvozeno od tří rezistorů s hodnotou 5 kω.

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

5. A/Č převodník s postupnou aproximací

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer

Aplikace. Hlásič SMS

REG10 návod k instalaci a použití 2.část Univerzální časovač a čítač AVC/ 02

Rozšiřující desce s dalšími paralelními porty Rozšiřující desce s motorkem Elektrickém zapojení Principu činnosti Způsobu programování

Struktura a architektura počítačů

1 Stručný popis jazyku VHDL

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu

Projekt: Přístupový terminál

Systém řízení Autoklávu s PLC AMIT

Implementace čítačů v číslicových systémech 2 Jakub Šťastný ASICentrum, s.r.o. FPGA Laboratoř, Katedra teorie obvodů FEL ČVUT Praha

Programovatelné relé Easy (Moeller), Logo (Siemens)

Příklad č. 1 Přepis informace ze vstupů (SW0 až SW3) na ledky (LEDG0 až LEDG3)

2. Entity, Architecture, Process

Programovatelné relé Easy (Moeller), Logo (Siemens)

1 Zadání. 2 Teoretický úvod. 4. Generátory obdélníkového signálu a MKO

Sčítačky Válcový posouvač. Demonstrační cvičení 6

Tlačítka. Konektor programování

INFORMAČNÍ A KOMUNIKAČNÍ TECHNOLOGIE

4.10 Ovládač klávesnice 07 TC 91 Ovládání 32 přepínačů/kláves a 32 LED

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL

Logické řízení s logickým modulem LOGO!

Autonomní zámek LOG2

Výukové texty. pro předmět. Automatické řízení výrobní techniky (KKS/ARVT) na téma. Podklady k základnímu popisu a programování PLC, CNC

200W ATX PC POWER SUPPLY

Alfanumerické displeje

ADEX SL3.3 REGULÁTOR KOTLE VARIMATIK

Odemykací systém firmy Raab Computer

Mikrokontroléry. Doplňující text pro POS K. D. 2001

Frekvenční měniče a servomotory Frekvenční měnič D2

Vysoká škola chemicko-technologická v Praze Fakulta chemicko-inženýrská Ústav počítačové a řídicí techniky. Aplikace mikroprocesorů KROKOVÝ MOTOREK

PCKIT LPT MODUL SBĚRNICE IOBUS PRO PC LPT. Příručka uživatele. Střešovická 49, Praha 6, s o f c o s o f c o n.

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Využití ICT pro rozvoj klíčových kompetencí CZ.1.07/1.5.00/

Přídavné karty. Zvuková karta. Síťová karta


Profilová část maturitní zkoušky 2014/2015

Laboratorní cvičení z předmětu Elektrická měření 2. ročník KMT

Mikropočítačová vstupně/výstupní jednotka pro řízení tepelných modelů. Zdeněk Oborný

Struktura a architektura počítačů (BI-SAP) 4

MART1600: UNIVERZÁLNÍ MODUL PRO ZÁZNAM A REPRODUKCI ZVUKOVÝCH HLÁŠENÍ S VYUŽITÍM OBVODU ŘADY ISD1600B

Pokojový termostat řízený pomocí SMS zpráv v síti GSM

mové techniky budov Osnova Základy logického Druhy signálů

2 Ovládání osvětlení pomocí impulzního a časového relé

Návrh synchronního čítače

Sekvenční logické obvody

BIOS. Autor: Bc. Miroslav Světlík

Prostředky automatického řízení Úloha č.5 Zapojení PLC do hvězdy

PK Design. Uživatelský manuál. Modul 4 LED displejů, klávesnice a LCD rozhraní v1.0. Přídavný modul modulárního vývojového systému MVS

GEN230-3i3u-X-ADE. Specifikace kalibra ního generátoru

r90>25=.nt>+>7z5n2k<1561/+;5n{.57u07k{16;5=.nt>+>7z5n2k<15n>29l.05,90>2/3k5n2k7,50{10;<o5>/>?ˆ581:+z6,561/+;

Obsah. Zobrazovací a ovládací prvky na čelním panelu. Účel použití. Elektrické zapojení. Obr : Binární vstupní / výstupní modul 07 DC 92

Firmware řídící jednotky stejnosměrného generátoru

Transkript:

Návrh ovládání zdroje ATX

Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením určitou dobu reakci je možné nastavit v BIOSU, např. nutnou dobu stisku, uspání počítače při krátkém stisku atd.

na zdroji Napájecí konektor ATX pinč. 6 (zelený vodič) - \PS_ON

Super IO čip speciální obvod na základní desce např. ite IT872F, Winbond W83977TF obsahuje vstupně/výstupní zařízení např. sériová rozhraní RS-232, rozhraní klávesnice, paralelní port řídí otáčky ventilátoru procesoru podle snímané teploty ovládá zdroj ATX

IT872-F

Winbond W83977TF

POWER Super I/O čip Zdroj ATX

Zahrajeme si na návrháře čipové sady PC navrhneme část Super IO čipu, který ovládá zapínání a vypínání zdroje ATX podle stisku tlačítka obvod oproti originálu zjednodušíme: nebudeme uspávat počítač při krátkém stisku doba podržení tlačítka nutná pro vypnutí zdroje bude konstantní

Vcc tlačítko OSCILÁTOR clk Řídicí obvod Zdroj ATX

Popis chování po stisku tlačítka dojde k zapnutí zdroje (výstup obvodu log. ), při uvolnění tlačítka je zdroj stále zapnut další stisk tlačítka signalizuje vypnutí zdroje: je-li tlačítko drženo alespoň 4 sekundy, zdroj je vypnut, pokud je tlačítko uvolněno dříve, zdroj zůstává zapnut

Návrh chovánířídicího obvodu popíšeme konečným automatem čas 4 sekundy po stisku tlačítka bude měřen čítačem frekvenci hodinového signálu na přípravku nastavíme na khz čítač bude 2 bitový, tj. čítá v rozsahu až 495 po dobu 4,95s čítač má vstupní signál povol je-li povol =, čítaččítá, je-li povol =, čítač je zastaven a vynulován

Návrh čítač má výstupní signál vrchol vrchol má hodnotu, dosáhl-li čítač vrcholové hodnoty 495, tj. binárně samé řídicí automat má 2 vstupní signály x - stav tlačítka (=stisknuto) vrchol - výstupní signál čítače a 2 výstupní signály povol - ovládání čítače o - ovládání spínacího tranzistoru zdroje (=zapnuto)

Návrh Čítač Vcc povol vrchol x Konečný automat o

Automatový popis úmluva v označení vstupů a výstupů v grafu přechodů / x vrchol povol o

Mooreův automat x Q / x Q / x x x Q 2 / x x Q 4 / Q 3 / x

Mealyho automat x/ x/ Q Q x/ x/ x/ / Q 3 Q 2 / x/ / /

Realizace problém metastability předstih (setup time) doba, po kterou musí být signál na vstupu klopného obvodu typu D ustálen před příchodem hrany synchronizačního (hodinového) pulzu v naší aplikaci neexistuje časový vztah mezi stiskem tlačítka a zdrojem hodinového signálu (oscilátorem) může se stát, že při stisku tlačítka nebude na vstupu klopných obvodů dodržen předstih nebo se změna vstupu dokonce "trefí" do okamžiku hrany hodinového signálu

v takovém případě hrozí metastabilita klopného obvodu výstup klopného obvodu se nepřeklopí do nebo, ale bude v zakázaném pásmu, event. může i zakmitat do ustáleného stavu se dostane až při příchodu další hrany hodinového signálu

Řešení metastability vstupnímu signálu, který nemáčasovou vazbu na hodinový signál, "vložíme" do cesty 2 klopné obvody

Realizace z diskrétních součástek (bez čítače) Tabulka přechodů a výstupů Q Q Q Q Q Q Q 2 Q 2 Q Q Q 2 Q 2 Q Q 2 Q 3 Q 3 Q Q Q 3 Q 3

Zakódování vnitřních stavů zvolíme binární kód q q Q Q Q 2 Q 3

Realizace s diskrétních součástek Zakódovaná tabulka přechodů a výstupů xv q q

Mapy pro budící funkce klopných obvodů q ': q q q ': q q x v x v q ' = xq + xq + xv q + ' = xq + xqq vq q

Mapy pro výstupní funkce o: q q povol: q q x v x v o = xq + + qq vq q povol = xvq q

Schéma obvodu (bez čítače) A6A A3B O x v A4A A4B A2A A2B A2C AA vcc UA clk d q A5A A4C povol A2D vcc A3A AB UB d clk q AC clock 2n ns RESET

Realizace na CPLD automat popíšeme ve VHDL popis bude symbolický, kódování vnitřních stavů a tvorbu budicích funkcí ponecháme na software, který provádí syntézu

Blok řídicího automatu entity ovladani is Port ( x : in std_logic; clk : in std_logic; reset : in std_logic; o : out std_logic); end ovladani;

popíšeme symbolické stavy: type Stavy is (Q,Q,Q2,Q3); zavedeme vnitřní signály: signal stav,novy_stav: Stavy; signal citac: std_logic_vector( downto ); -- dva signály xk a xl ve funkci klopných obvodů pro řešení metastability signal xk: std_logic; signal xl: std_logic; signal povol: std_logic; signal vrchol: std_logic; -- sdruzeny vstup automatu a vrchol signal vstup: std_logic_vector( downto );

popíšeme čítač: process(clk, reset, citac) is begin if (reset='') then citac <= (others => ''); elsif (clk'event and clk = '') then case povol is when '' => citac <= (others => ''); when '' => citac <= citac + ; when others => citac <= (others => ''); end case; end if; end process;

čítač dosáhl vrcholu: vrchol <= citac() and citac() atd.; logický součin je možné zapsat ve VHDL i pomocí cyklu for a zavedením proměnné p: process (vrchol,citac) variable p: std_logic; begin p := ''; for i in to loop p := p and citac(i); end loop; vrchol <= p; end process;

popíšeme zapojení klopných obvodů pro řešení metastability: process (xk,xl,clk,reset) begin if (reset='') then xk <= ''; xl <= ''; elsif (clk'event and clk = '') then xk <= x; xl <= xk; end if; end process;

popíšeme klopné obvody pro uložení vnitřních stavů process(reset,clk,stav,novy_stav) begin if (reset='') then stav <= Q; elsif (clk'event and clk = '') then stav <= novy_stav; else stav <= stav; end if; end process;

sdružíme vstupy: vstup <= (xl,vrchol); popíšeme tabulku přechodů process (stav,novy_stav,vstup) begin case stav is when Q => case vstup is when "" => novy_stav <= Q; when "" => novy_stav <= Q; when "" => novy_stav <= Q; when "" => novy_stav <= Q; when others => novy_stav <= Q; end case; when Q => case vstup is when "" => novy_stav <= Q2; atd.

popíšeme tabulku výstupů process (stav,vstup) begin case stav is when Q => case vstup is when "" => o <= ''; povol <= ''; when "" => o <= ''; povol <= ''; when "" => o <= ''; povol <= ''; when "" => o <= ''; povol <= ''; when others => o <= ''; povol <= ''; end case; when Q => o <= ''; povol <= ''; when Q2 => case vstup is when "" => o <= ''; povol <= ''; atd.