Návrh základních kombinačních obvodů: dekodér, enkodér, multiplexor, demultiplexor
|
|
- Luděk Šmíd
- před 6 lety
- Počet zobrazení:
Transkript
1 Předmět Ústv Úloh č. 2 BDIO - Digitální obvody Ústv mikroelektroniky Návrh zákldních kombinčních obvodů: dekodér, enkodér, multiplexor, demultiplexor Student Cíle Porozumění logickým obvodům typu dekodér, enkodér, multiplexor, demultiplexor. Schemtický návrh v návrhovém prostředí Xilinx ISE WebPck. Návrh obvodu s využitím jzyk VHDL v návrhovém prostředí Xilinx ISE WebPck. Implementce návrhu do cílového obvodu FPGA Sprtn3-200k n vývojové desce Sprtn-3 Strter Bord. Teoretický úvod Dekodér Dekodér je kombinční obvod, který převádí vstupní kód n odlišný výstupní kód. Velkou skupinu dekodérů tvoří dekodéry převádějící binární kód n kód 1 z N. Kód 1 z N je definován následovně. Vždy je ktivní mximálně jeden výstup (předpokládejme ktivní stv v log. 1). Pozice ktivního výstupu odpovídá hodnotě binárního čísl n vstupu. Při n-bitovém vstupu pltí, že počet výstupů N se rovná mximálně 2 n. Tbulk 1 je prvdivostní tbulk pro převod dvoubitového binárního kódu n kód 1 z 4. Dekodér popsný v tbulce 1 obshuje tké vstup blokování en, kterým lze všechny výstupy uvést do nektivního stvu. Tb. 1: Prvdivostní tbulk dekodéru binárního kódu n kód 1z4 b en y3 y2 y1 y x x x - libovolná hodnot N obrázcích 1, 2 3 je uveden příkld schemtické znčky dekodéru 1 z 4 (tto znčk není definován normou v prxi je možné se setkt s různými vrintmi), jeho vnitřní zpojení příkld použití jko dekodéru pro řízení komunikce čtyř zřízení s ndřzeným systémem.
2 Nvrhněte zpojení obvodu dle tbulky 1 en y3 y2 y1 y0 b dekodér 1z4 Obr. 1: Schemtická znčk dekodéru Obr. 2: Vnitřní zpojení dekodéru 1z4 dev0 dev1 dev2 ndřzený systém (npř. m ikrokontrolér) dev3 y0 y1 y2 y3 dekodér 1z4 en b Obr. 3: Ovládání komunikce zřízení s ndřzeným systémem s vyžitím dekodéru 1z4 Dlší skupinu dekodérů tvoří ty, které dekódují BCD kód n kód pro LCD nebo LED displeje. Multiplexor Multiplexor je číslicový přepínč. Má vstupy dresy (v binárním kódu) vstupy přepínných signálů. Ty jsou očíslovné čísl vyznčují, při které hodnotě dresy je dný vstupní signál převeden n výstup. Nejjednodušší je dvouknálový multiplexor, má pouze jeden dresový vstup, který je používán velmi čsto. Počet přepínných signálů N závisí n počtu dresových vstupů n následovně N = 2 n. POZOR - směr přenosu signálu nelze obrátit n rozdíl od nlogového multiplexoru zloženého n spínčích CMOS.
3 Relizce logické funkce pomocí multiplexoru Relizovt logickou funkci můžeme pomocí multiplexoru pouze tehdy, když má multiplexor počet řídicích vstupů minimálně N-1, kde N je počet vstupních proměnných logické funkce. Protože v příkldu relizujeme funkci o čtyřech proměnných, vystčíme s multiplexorem se třemi řídicími vstupy. Při diskrétní relizci lze jko multiplexor použít npříkld integrovný obvod 74HC151. V návrhovém prostředí Xilinx ISE WebPck lze pro návrh s multiplexom využít součástky z knihovny MUX. Pro relizci funkce o čtyřech vstupních proměnných můžeme využít npříkld obvod M8_1E. Jeho schemtická znčk je n obrázku 4. Funkce multiplexoru je tková, že multiplexor přenáší signál ze zvoleného vstupu D0 ž D7 n výstup O. Vstup, ze kterého je informce převáděn n výstup, je vybrán dresou, binárním číslem n vstupech S2, S1, S0, přičemž vstup S2 má největší váhu. Pro přenos informce ze vstupu n výstup musí být n vstupu E úroveň log. 1. Při log. 0 n vstupu E je n výstupu O trvle log. 0. Obr. 4: Schemtická znčk multiplexoru M8_1E Relizci logické funkce definovné v tbulce 2 provádíme tk, že tři vstupní proměnné d, c, b přivedeme n vstupy multiplexoru S2, S1, S0. Pro kombinci vstupních proměnných b = 0, c = 0 d = 0 je signál ze vstupu D0 přenášen n výstup multiplexoru O, což odpovídá stvovým řádkům s indexy 0 1 v prvdivostní tbulce. N tento vstup D0 připojíme vstupní promněnnou, protože hodnot výstupní proměnné y odpovídá hodnotě proměnné ( y = ), viz. tbulk 3.
4 Tb. 2: Prvdivostní tbulk logické funkce y e d c b y x x x x 0 Obr. 5: Relizce logické funkce z tb. 2 s použitím multiplexoru Tb. 3: Vyznčení řádků funkce pro vstupní kombinci d = 0, c = 0, b = 0 řádek d c b y Mohou nstt dlší přípdy. Přípd, kdy výstupní hodnot je negcí hodnoty vstupní proměnné ( y = ) pro určité dv stvové řádky prvdivostní tbulky, řešíme tk, že n příslušný vstup multiplexoru připojíme vstupní proměnnou. Dále můžou nstt dv přípdy, kdy se výstupní hodnot y pro určité dv stvové řádky nemění. Pk připojíme příslušný vstup multiplexoru n úroveň log. 0 nebo log. 1, podle toho, jké logické úrovně nbývá výstupní proměnná y pro dné stvové řádky. Příkld relizce logické funkce zdné v tbulce 2 pomocí multiplexoru je n obrázku 5. Demultiplexor Demultiplexor je opkem multiplexoru. Má jeden vstup () několik výstupů (y 0 ž y N). Adresou v binárním kódu přivedenou n vstupy (S 0 ž S n) je vybrán výstup n který je přenášen signál ze vstupu. N všech osttních výstupech je log. 0. en DX Y 0 Y 1 Y 2 Y n S 0 Obr. 6: Blokové schém n-výstupového demultiplexoru S n
5 Vyprcování lbortorní úlohy Úkol č. 1 (0,6 bodu) Doplňte následující tbulku dekodéru, který převede kód 2 z 5 n kód BCD. 2 z 5 BCD e d c b D C B A Úkol č. 2 (0,6 bodu) Vyjádřete neminimlizovnou logickou funkci pro výstupní proměnnou D z předchozího příkldu. Úkol č. 3 (0,6 bodu) Pomoci dekodéru součtového hrdl relizujte funkci tří proměnných. Úlohu neřešte v návrhovém prostředí. Pro relizci použijte komponentu D3_8E (3-vstupový dekodér 1 z 8 se řídícím vstupem enble) součtové hrdlo OR z knihovny Logic. Doplňte prvdivostní tbulku funkce y dokreslete schém zpojení. K získání prvdivostní tbulky dekodéru D3_8E využijte nápovědu v návrhovém prostředí. Klikněte n součástku dekodéru zvolte možnost SYMBOL INFO. y= b c b c b c
6 S E c b y x x x Prvdivostní tbulk Návrh rozložení součástek Úkol č. 4 (0,6 bodu) V návrhovém prostředí Xilinx ISE WebPck nvrhněte schemtický obvod, který řeší funkci zdnou následující prvdivostní tbulkou. Pro relizci použijte multiplexor se třemi řídicími vstupy (M8_1E) jeho funkci ověřte pomocí vývojové desky. S E d c b y x x x x 0 Zpojení vstupů výstupů Signál E d c b y Přepínč SW7 SW3 SW2 SW1 SW0 LD0
7 Úkol č. 5 (0,6 bodu) Doplňte následující VHDL popis, který bude reprezentovt schemticky znázorněný 4-vstupový multiplexor. Tento VHDL popis následně zvolte jko vrcholovou jednotku (Top Module) místo schemtického návrhu. A NAHRAJTE DO DESKY!!! s0 s1 b c d y Vnitřní zpojení 4-vstupového multiplexoru Blokové schém 4-vstupového multiplexoru entity mux is port ( : in STD_LOGIC; -- vstup b : in STD_LOGIC; -- vstup b c : in STD_LOGIC; -- vstup c d : in STD_LOGIC; -- vstup d s : in STD_LOGIC_VECTOR (1 downto 0); -- vyberovy vstup s y : out STD_LOGIC); -- vystup y end mux; rchitecture Behviorl of mux is begin y <= b when s="01" else -- kombince S1=0 S0=1, pk y=b when s="00" else -- kombince S1=0 S0=0, pk y= -- doplnte chybejici kod!!! -- doplnte chybejici kod!!! end Behviorl;
8 Bonusový úkol č. 6 (0,6 bodu) V návrhovém prostředí Xilinx ISE WebPck nvrhněte obvod, který řeší funkci prioritního enkodéru pro čtyři zřízení dle definovné priority. Vytvořte VHDL popis jeho funkci ověřte pomocí vývojové desky. dev0 dev1 dev2 dev3 x0 x1 x2 x3 z e n y0 y1 y2 y3 enkodér 1z4 y0 y1 b dekodér 1z4 zřízení priorit dev0 1 dev1 3 (mx) dev2 2 dev3 0 (min) zřízení vstupy výstupy x3 x2 x1 x0 y1 y0 z dev dev dev dev žádné
Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student
Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,
Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.
Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Realizace kombinačních logických funkcí Realizace kombinační logické funkce = sestavení zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné
... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu
Předmět Ústav Úloha č. 10 BDIO - Digitální obvody Ústav mikroelektroniky Komplexní příklad - návrh řídicí logiky pro jednoduchý nápojový automat, kombinační + sekvenční logika (stavové automaty) Student
1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD
.. Minimalizace logické funkce a implementace do cílového programovatelného obvodu Zadání. Navrhněte obvod realizující neminimalizovanou funkci (úplný term) pomocí hradel AND, OR a invertorů. Zaznamenejte
Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.
Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý
1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/).
Zadání: Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Technika personálních počítačů, cvičení ITP FITkit Řízení 7mi-segmentového displeje Úloha č. 3. 1. Seznamte se s výukovou
Příklady popisu základních obvodů ve VHDL
Příklady popisu základních obvodů ve VHDL INP - cvičení 2 Michal Bidlo, 2008 bidlom@fit.vutbr.cz entity Circuit is port ( -- rozhraní obvodu ); end Circuit; Proces architecture Behavioral of Circuit is
Návrh ovládání zdroje ATX
Návrh ovládání zdroje ATX Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením
Doc. Ing. Vlastimil Jáneš, CSc., K620
Hrdwre počítčů Doc. Ing. Vlstimil Jáneš, CSc., K620 e-mil: jnes@fd.cvut.cz K508, 5. ptro, lbortoř, 2 2435 9555 Ing. Vít Fáber, K614 e-mil: fber@fd.cvut.cz K508, 5. ptro, lbortoř, 2 2435 9555 Informce mteriály
1 z 9 9.6.2008 13:27
1 z 9 9.6.2008 13:27 Test: "TVY_KLO" Otázka č. 1 Převodníku je: kombinační logický obvod, který převádí jeden binární kód do druhého Odpověď B: obvod, pomocí kterého můžeme převádět číslo z jedné soustavy
Cíle. Teoretický úvod
Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních
Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.
Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Klopné obvody jsou nejjednodušší sekvenční součástky Záleží na předcházejícím stavu Asynchronní klopné obvody reagují na změny vstupu okamžitě Synchronní
Způsoby realizace této funkce:
KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je výstup určen jen výhradně kombinací vstupních veličin. Hodnoty výstupních veličin nezávisejí na předcházejícím stavu logického obvodu, což znamená, že kombinační
KOMBINAČNÍ LOGICKÉ OBVODY
Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je vstup určen jen výhradně kombinací vstupních veličin. Hodnoty
2.8 Kodéry a Rekodéry
2.8 Kodéry a Rekodéry 2.8.1 Úkol měření 1. Navrhněte a realizujte rekodér z kódu BCD na kód 2421 a ověřte jeho funkčnost 2. Navrhněte a realizujte rekodér z kódu 2421 na kód BCD a ověřte jeho funkčnost
Vícebytová celočíselná aritmetika
IMTEE 7 / 8 Přednášk č. 7 Vícebytová celočíselná ritmetik = bitová šířk zprcovávných dt > než šířk slov PU npř.: 8 b PU zprcovává b dt dále teoretické příkldy: b PU zprcovává 6 b slov Uložení dt v pměti
Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.
Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Stavové automaty enkódování Proces, který rozhoduje kolik paměťových prvků bude využito v paměťové části. Binární enkódování je nejpoužívanější. j počet stavů
2.7 Binární sčítačka. 2.7.1 Úkol měření:
2.7 Binární sčítačka 2.7.1 Úkol měření: 1. Navrhněte a realizujte 3-bitovou sčítačku. Pro řešení využijte dílčích kroků: pomocí pravdivostní tabulky navrhněte a realizujte polosčítačku pomocí pravdivostní
Logické obvody. Logický obvod. Rozdělení logických obvodů - Kombinační logické obvody. - Sekvenční logické obvody
Logické ovody Cílem této kpitoly je sezn{mit se s logickými ovody, se z{kldním rozdělením logických ovodů, s jejich některými typy. Tké se nučíme nvrhovt logické ovody. Klíčové pojmy: Logický ovod,kominční
Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky. Úloha č. 3. Student
Přmět Ústv Úloh č. 3 BDIO - Diitální ovoy Ústv mikrolktroniky Návrh koéru BCD kóu n 7-smntový isplj, kominční loik Stunt Cíl Prá s 7-smntovým ispljm. Návrh kominční loiky koéru pro 7-smntový isplj. Minimliz
Sčítačky Válcový posouvač. Demonstrační cvičení 6
Sčítačky Válcový posouvač INP Demonstrační cvičení 6 Poloviční sčítačka (Half Adder) A B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 A B HA S C S: A C: A 0 1 0 0 1 0 B 0 1 B S
Návod k obsluze výukové desky CPLD
Návod k obsluze výukové desky CPLD FEKT Brno 2008 Obsah 1 Úvod... 3 2 Popis desky... 4 2.1 Hodinový signál... 5 2.2 7- Segmentový displej... 5 2.3 LED zobrazení... 6 2.4 Přepínače... 6 2.5 PORT 1 - Externí
MATA Př 2. Složené výroky: Jsou dány výroky: a: Číslo 5 je prvočíslo. b: Číslo 5 je sudé. c: Číslo 5 je liché. d: Číslo 5 je záporné.
MATA Př 2 Složené výroky: Jsou dány výroky: : Číslo 5 je prvočíslo. : Číslo 5 je sudé. c: Číslo 5 je liché. d: Číslo 5 je záporné. Konjunkce disjunkce Konjunkce liovolných výroků, je výrok, který vznikne
P2 Číselné soustavy, jejich převody a operace v čís. soustavách
P Číselné soustvy, jejich převody operce v čís. soustvách. Zobrzení čísl v libovolné číselné soustvě Lidé využívjí ve svém životě pro zápis čísel desítkovou soustvu. V této soustvě máme pro zápis čísel
Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody
Integrované obvody Obvody malé, střední a velké integrace Programovatelné obvody Integrovaný obvod zkratka: IO anglický termín: integrated circuit = IC Co to je? elekrotechnická součástka na malé ploše
Logické obvody - kombinační Booleova algebra, formy popisu Příklady návrhu
MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Logické ovody - kominční Booleov lger, ormy popisu Příkldy návrhu České vysoké učení technické Fkult elektrotechnická ABMIS Mikroprocesory
VY_32_INOVACE_CTE-2.MA-15_Sčítačky (poloviční; úplná) Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl
Číslo projektu Číslo mteriálu Z..07/.5.00/34.058 VY_32_INOVAE_TE-2.MA5_čítčky (poloviční; úplná) Název školy Autor Temtická olst Ročník třední odorná škol třední odorné učiliště, Duno Ing. Miroslv Krýdl
ZÁKLADY. y 1 + y 2 dx a. kde y je hledanou funkcí proměnné x.
VARIAČNÍ POČET ZÁKLADY V prxi se čsto hledjí křivky nebo plochy, které minimlizují nebo mximlizují jisté hodnoty. Npř. se hledá nejkrtší spojnice dvou bodů n dné ploše, nebo tvr zvěšeného ln (má minimální
Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:
Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí
Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.
Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Obvody s třístavovým výstupem dva tranzistory: vodivostní kanál typ N vodivostní kanál typ P X CS 3 stavový sa výstup Y P logika X 3 stavový výstup W N CS
Souhrn Apendixu A doporučení VHDL
Fakulta elektrotechniky a informatiky Univerzita Pardubice Souhrn Apendixu A doporučení VHDL Práce ke zkoušce z předmětu Programovatelné logické obvody Jméno: Jiří Paar Datum: 17. 2. 2010 Poznámka k jazyku
íslicová technika Radek Maík Maík Radek 1
íslicová technik Rdek Mík Mík Rdek 1 íselné soustvy ritmetické operce Mík Rdek 2 Pevody mezi soustvmi (z10) Výsledek dostneme vyíslením z-dickéhoz dickéhoísl ve tvru dy. (101,11) 2 = 1.2 2 + 0.2 1 + 1.2
Půjdu do kina Bude pršet Zajímavý film. Jedině poslední řádek tabulky vyhovuje splnění podmínky úvodního tvrzení.
4. Booleov lger Booleov lger yl nvržen v polovině 9. století mtemtikem Georgem Boolem, tehdy nikoliv k návrhu digitálníh ovodů, nýrž jko mtemtikou disiplínu k formuli logikého myšlení. Jko příkld použijeme
Koncept pokročilého návrhu ve VHDL. INP - cvičení 2
Koncept pokročilého návrhu ve VHDL INP - cvičení 2 architecture behv of Cnt is process (CLK,RST,CE) variable value: std_logic_vector(3 downto 0 if (RST = '1') then value := (others => '0' elsif (CLK'event
13. Exponenciální a logaritmická funkce
@11 1. Eponenciální logritmická funkce Mocninná funkce je pro r libovolné nenulové reálné číslo dán předpisem f: y = r, r R, >0 Eponent r je konstnt je nezávisle proměnná. Definičním oborem jsou pouze
Návrh. číslicových obvodů
Návrh číslicových obvodů SW Aritmetika HW Periférie CPU function AddSub(a,b,s); var c; a b k k a+b mpx c if (s==1) c=a+b; else c=a-b; a-b return c; End; PAMĚŤ s Princip: univerzální stroj Výhoda: univerzalita
BDIO - Digitální obvody
BIO - igitální obvody Ústav Úloha č. 6 Ústav mikroelektroniky ekvenční logika klopné obvody,, JK, T, posuvný registr tudent Cíle ozdíl mezi kombinačními a sekvenčními logickými obvody. Objasnit principy
Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač
Y36SAP 27 Y36SAP-4 Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač 27-Kubátová Y36SAP-Logické obvody typické Často používané funkce Majorita:
Kódy pro odstranění redundance, pro zabezpečení proti chybám. Demonstrační cvičení 5 INP
Kódy pro odstranění redundance, pro zabezpečení proti chybám Demonstrační cvičení 5 INP Princip kódování, pojmy Tady potřebujeme informaci zabezpečit, utajit apod. zpráva 000 111 000 0 1 0... kodér dekodér
Lineární nerovnice a jejich soustavy
teorie řešené úlohy cvičení tipy k mturitě výsledky Lineární nerovnice jejich soustvy Víš, že pojem nerovnice není opkem pojmu rovnice? lineární rovnice má většinou jediné řešení, kdežto lineární nerovnice
Multiplexor a demultiplexor
Multiplexor a demultiplexor Mux_DMux [2] Funkcia multiplexoru ako prepínača A D 1 D 0 Y 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 0 1 1 0 1 1 1 1 1 3 x NAND Ak A = 0 výstup Y = D 0 a ak A = 1 výstup
UC485S. PŘEVODNÍK LINKY RS232 na RS485 nebo RS422 S GALVANICKÝM ODDĚLENÍM. Převodník UC485S RS232 RS485 RS422 K1. přepínače +8-12V GND GND TXD RXD DIR
PŘEVODNÍK LINKY RS232 n RS485 neo RS422 S GALVANICKÝM ODDĚLENÍM 15 kv ESD Protected IEC-1000-4-2 Převodník přepínče RS232 RS485 RS422 K1 ' K2 +8-12V GND GND TXD RXD DIR PAPOUCH 1 + gnd Ppouch s.r.o. POPIS
1.1.20 Sbírka na procvičení vztahů mezi veličinami popisujícími pohyb
1.1.20 Sbírk n procvičení vzhů mezi veličinmi popisujícími pohyb Máme ři veličiny popisující pohyb dv vzhy, keré je spojují nvzájem. s v = Rychlos je změn dráhy z změnu čsu (rychlos říká, jk se v čse mění
Násobičky, Boothovo překódování. Demonstrační cvičení 7
Násobičky, Boothovo překódování INP Demonstrační cvičení 7 Obsah Princip násobení Sekvenční a kombinační násobička Kombinační násobičky ve VHDL Násobení se znaménkem (FX) Boothovo překódování, VHDL Násobení
H - Řízení technologického procesu logickými obvody
H - Řízní tchnologického procsu logickými ovody (Logické řízní) Tortický úvod Součástí řízní tchnologických procsů j i zjištění správné posloupnosti úkonů tchnologických oprcí rozhodování o dlším postupu
m n. Matice typu m n má
MATE ZS KONZ B Mtice, hodnost mtice, Gussův tvr Mtice uspořádné schém reálných čísel: m m n n mn Toto schém se nzývá mtice typu m řádků n sloupců. m n. Mtice typu m n má Oznčujeme ji A, B,někdy používáme
SYLABUS PŘEDNÁŠKY 7 Z GEODÉZIE 1
SYLABUS PŘEDNÁŠKY 7 Z GEODÉZIE 1 (Souřdnicové výpočty) 1 ročník bklářského studi studijní progrm G studijní obor G doc Ing Jromír Procházk CSc listopd 2015 1 Geodézie 1 přednášk č7 VÝPOČET SOUŘADNIC JEDNOHO
Je regulární? Pokud ne, na regulární ji upravte. V původní a nové gramatice odvod te řetěz 1111.
Grmtiky. Vytvořte grmtiku generující množinu řetězů { n m } pro n, m N {} tková, že n m. Pomocí této grmtiky derivujte řetezy,. 2. Grmtik je dán prvidly S ɛ S A A S B B A B. Je regulární? Pokud ne, n regulární
Obecně: K dané funkci f hledáme funkci ϕ z dané množiny funkcí M, pro kterou v daných bodech x 0 < x 1 <... < x n. (δ ij... Kroneckerovo delta) (4)
KAPITOLA 13: Numerická integrce interpolce [MA1-18:P13.1] 13.1 Interpolce Obecně: K dné funkci f hledáme funkci ϕ z dné množiny funkcí M, pro kterou v dných bodech x 0 < x 1
3.7.5 Znaménkové operátory Násobící operátory Rùzné operátory Základní objekty Konstanty Sig
OBSAH Úvod 11 Signály v èíslicových systémech 13 2.1 Dvojstavové signály... 14 2.2 Tøístavové signály... 16 2.3 Dynamické parametry èíslicových signálù... 16 Jazyk VHDL 19 3.1 Historie, souèasnost, budoucnost
Struktura a architektura počítačů (BI-SAP) 10
Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 10 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii
Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i
Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i 1 Spuštění návrhového prostředí Spusťte návrhové prostředí Xilinx ISE 9.2 pomoci ikony na ploše Xilinx ISE 9.2. 2 Otevření projektu a. Klikněte na položku
Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM UMEL FEKT Šteffan Pavel
Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM 17.3.2009 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí... 3 2 Otevření projektu... 3 3 Tvorba elektrického schématu... 6 4 Přiřazení
Analogově-číslicové převodníky ( A/D )
Analogově-číslicové převodníky ( A/D ) Převodníky analogového signálu v číslicový (zkráceně převodník N/ Č nebo A/D jsou povětšině založeny buď na principu transformace napětí na jinou fyzikální veličinu
M - Příprava na 3. zápočtový test pro třídu 2D
M - Příprv n. ápočtový test pro třídu D Autor: Mgr. Jromír JUŘEK Kopírování jkékoliv dlší využití výukového mteriálu je povoleno poue s uvedením odku n www.jrjurek.c. VARIACE 1 Tento dokument byl kompletně
M A = M k1 + M k2 = 3M k1 = 2400 Nm. (2)
5.3 Řešené příkldy Příkld 1: U prutu kruhového průřezu o průměrech d d b, který je ztížen kroutícími momenty M k1 M k2 (M k2 = 2M k1 ), viz obr. 1, vypočítejte rekční účinek v uložení prutu, vyšetřete
Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky. Úloha č. 5. Student. Řešení komplexního úkolu kombinační logikou Chemická nádrž
Předmět Ústav Úloha č. 5 BDIO - Digitální obvody Ústav mikroelektroniky Řešení komplexního úkolu kombinační logikou Chemická nádrž Student Cíle Vyřešení slovného zadání úkolu. Karnaughovy mapy, minimalizace
Úvod do jazyka VHDL. Jan Kořenek korenek@fit.vutbr.cz. Návrh číslicových systémů 2007-2008
Úvod do jazyka VHDL Návrh číslicových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Jak popsat číslicový obvod Slovně Navrhněte (číslicový) obvod, který spočte sumu všech členů dané posloupnosti slovní
Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE
Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí
URČITÝ INTEGRÁL FUNKCE
URČITÝ INTEGRÁL FUNKCE Formulce: Nším cílem je určit přibližnou hodnotu určitého integrálu I() = () d, kde předpokládáme, že unkce je n intervlu, b integrovtelná. Poznámk: Geometrický význm integrálu I()
Dodatečné informace č. 1 zadavatele k veřejné zakázce. Pronájem multifunkčních tiskových zařízení
Dodtečné informce č. 1 zdvtele k veřejné zkázce Pronájem multifunkčních tiskových zřízení Zdvtel upřesňuje zdávcí dokumentci výše uvedené veřejné zkázky n služby, vyhlášené v souldu s podmínkmi 25 38 zákon
x jsou všechna reálná čísla x, pro která platí: log(x + 5) D: x ( 5; 4) (4; ) + x+6
Test studijních předpokldů Vrint A1 Příkld 1. Kolik přirozených čísel lze vytvořit z číslic 0, 1,, 4, 8, jestliže se žádná číslice neopkuje? A: 1 B: 3 C: 60 D: 40 E: 48 Příkld. Definičním oborem funkce
Struktura a architektura počítačů
Struktur rchtektur počítčů Čsování klopných ovodů Logcké komnční ovod (lok) používné v číslcovém počítč České vsoké učení techncké Fkult elektrotechncká Ver..3 J. Zděnek / M. Chomát 24 Čsování výpočet
Struktura a architektura počítačů (BI-SAP) 4
Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii
+ c. n x ( ) ( ) f x dx ln f x c ) a. x x. dx = cotgx + c. A x. A x A arctgx + A x A c
) INTEGRÁLNÍ POČET FUNKCE JEDNÉ PROMĚNNÉ ) Pojem neurčitého integrálu Je dán funkce Pltí všk tké F tk, y pltilo F ( ) f ( ) Zřejmě F ( ), protože pltí, 5,, oecně c, kde c je liovolná kon- stnt f ( ) nším
VIII. Primitivní funkce a Riemannův integrál
VIII. Primitivní funkce Riemnnův integrál VIII.2. Riemnnův integrál opkování Vět. Nechť f je spojitá funkce n intervlu, b nechť c, b. Oznčíme-li F (x) = x (, b), pk F (x) = f(x) pro kždé x (, b). VIII.3.
12. VHDL pro verifikaci - Testbench I
Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti 12. VHDL pro verifikaci - Testbench I Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních
Manuál přípravku FPGA University Board (FUB)
Manuál přípravku FPGA University Board (FUB) Rozmístění prvků na přípravku Obr. 1: Rozmístění prvků na přípravku Na obrázku (Obr. 1) je osazený přípravek s FPGA obvodem Altera Cyclone III EP3C5E144C8 a
8. Elementární funkce
Historie přírodních věd potvrzuje, že většinu reálně eistujících dějů lze reprezentovt mtemtickými model, které jsou popsán tzv. elementárními funkcemi. Elementární funkce je kždá funkce, která vznikne
Logické funkce a obvody, zobrazení výstupů
Logické funkce a obvody, zobrazení výstupů Digitální obvody (na rozdíl od analogových) využívají jen dvě napěťové úrovně, vyjádřené stavy logické nuly a logické jedničky. Je na nich založeno hodně elektronických
Matematika 1A. PetrSalačaJiříHozman Fakulta přírodovědně-humanitní a pedagogická Technická univerzita v Liberci
Mtemtik 1A. PetrSlčJiříHozmn Fkult přírodovědně-humnitní pedgogická Technická univerzit v Liberci petr.slc@tul.cz jiri.hozmn@tul.cz 21.11.2016 Fkult přírodovědně-humnitní pedgogická TUL ZS 2016-2017 1/
Logaritmická funkce, logaritmus, logaritmická rovnice
Logritmická funkce. 4 Logritmická funkce, ritmus, ritmická rovnice - získá se jko funkce inverzní k funkci eponenciální, má tvr f: = Pltí: > 0!! * * = = musí být > 0, > 0 Rozlišujeme dv zákldní tp: ) >
3. Kvadratické rovnice
CZ..07/..08/0.0009. Kvdrtické rovnice se v tetice oznčuje lgebrická rovnice druhého stupně, tzn. rovnice o jedné neznáé, ve které neznáá vystupuje ve druhé ocnině (²). V zákldní tvru vypdá následovně:
Domácí telefony DT 93
Domácí telefony DT 93 4FP 110 51-55 4FP 110 73-74 OBSAH: I. Provedení možnosti použití DT93 strn 1 Obr.1 Schém DT 4FP 110 51 DT93 strn 1 Obr.2 Schém DT 4FP 110 52 DT93 strn 1 Obr.3 Schém DT 4FP 110 53
Merkur perfekt Challenge Studijní materiály
Merkur perfekt Challenge Studijní materiály T: 541 146 120 IČ: 00216305, DIČ: CZ00216305 / www.feec.vutbr.cz/merkur / steffan@feec.vutbr.cz 1 / 10 Název úlohy: Autonomní dopravní prostředek Anotace: Úkolem
Instalační návod. Jednotka příslušenství pro venkovní jednotky s integrovanými hydraulickými součástmi EK2CB07CAV3.
Jednotk příslušenství pro venkovní jednotky s integrovnými češtin Obsh Obsh O této dokumentci. O tomto dokumentu... Informce o krbici. Jednotk příslušenství..... Vyjmutí veškerého příslušenství z jednotky
Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM UMEL FEKT Šteffan Pavel
Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM 12.3.2011 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí...3 2 Otevření projektu...3 3 Tvorba elektrického schématu...6 4 Přiřazení
2.9 Čítače. 2.9.1 Úkol měření:
2.9 Čítače 2.9.1 Úkol měření: 1. Zapište si použité přístroje 2. Ověřte časový diagram asynchronního binárního čítače 7493 3. Ověřte zkrácení početního cyklu čítače 7493 4. Zapojte binární čítač ve funkci
Matice. a B =...,...,...,...,..., prvků z tělesa T (tímto. Definice: Soubor A = ( a. ...,..., ra
Definice: Soubor A ( i j ) Mtice 11 12 1n 21 22 2n m 1 m2 prvků z těles T (tímto tělesem T bude v nší prxi nejčstěji těleso reálných čísel R resp těleso rcionálních čísel Q či těleso komplexních čísel
3 Algebraické výrazy. 3.1 Mnohočleny Mnohočleny jsou zvláštním případem výrazů. Mnohočlen (polynom) proměnné je výraz tvaru
Algerické výrz V knize přírod může číst jen ten, kdo zná jzk, ve kterém je npsán. Jejím jzkem je mtemtik jejím písmem jsou mtemtické vzorce. (Glileo Glilei) Algerickým výrzem rozumíme zápis, ve kterém
Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student
Předmět Ústav Úloha č. 9 BIO - igitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Cíle Pochopení funkce obvodu pro odstranění zákmitů na
5.1.5 Základní vztahy mezi body, přímkami a rovinami
5.1.5 Zákldní vzthy mezi body, přímkmi rovinmi Předpokldy: 510 Prostor má tři rozměry, skládá se z bodů přímk - jednorozměrná podmnožin prostoru (množin bodů), rovin - dvojrozměrná podmnožin prostoru (množin
DERIVACE A INTEGRÁLY VE FYZICE
DOPLŇKOVÉ TEXTY BB0 PAVEL SCHAUER INTERNÍ MATERIÁL FAST VUT V BRNĚ DERIVACE A INTEGRÁLY VE FYZICE Obsh Derivce... Definice derivce... Prciální derivce... Derivce vektorů... Výpočt derivcí... 3 Algebrická
Projekt: Přístupový terminál
Projekt: Přístupový terminál 1. Zadání 1. Seznamte se s přípravkem FITKit a způsobem připojení jeho periférií, zejména klávesnice a LCD displeje. 2. Prostudujte si zdrojové kódy projektu v jazyce VHDL.
Jsou to rovnice, které obsahují neznámou nebo výraz s neznámou jako argument logaritmické funkce.
Logritmické rovnice Jsou to rovnice, které oshují neznámou neo výrz s neznámou jko rgument ritmické funkce. Zákldní rovnice, 0 řešíme pomocí vzthu. Složitější uprvit n f g potom f g (protože ritmická funkce
Instalační návod. Jednotka příslušenství nízkoteplotního monobloku Daikin Altherma EK2CB07CAV3. Instalační návod. čeština
Instlční návod Jednotk příslušenství nízkoteplotního monobloku Dikin Altherm EKCB07CAV Instlční návod Jednotk příslušenství nízkoteplotního monobloku Dikin Altherm češtin Obsh Obsh O této dokumentci. O
Vzdálenost roviny a přímky
511 Vzdálenost roviny přímky Předpokldy: 510 Př 1: Rozhodni, kdy má smysl uvžovt o vzdálenosti přímky od roviny, nvrhni definici této vzdálenosti Uvžovt o vzdálenosti přímky roviny můžeme pouze v přípdě,
6. Setrvačný kmitový člen 2. řádu
6. Setrvčný kmitový člen. řádu Nejprve uvedeme dynmické vlstnosti kmitvého členu neboli setrvčného členu. řádu. Předstviteli těchto členů jsou obvody nebo technická zřízení, která obshují dvě energetické
Číslicové obvody základní pojmy
Číslicové obvody základní pojmy V číslicové technice se pracuje s fyzikálními veličinami, které lze popsat při určité míře zjednodušení dvěma stavy. Logické stavy binární proměnné nabývají dvou stavů:
SEP2 Sensor processor. Technická dokumentace
SEP2 Sensor processor Technická dokumentace EGMedical, s.r.o. Křenová 19, 602 00 Brno CZ www.strasil.net 2010 Obsah 1. Úvod...3 2. Zapojení zařízení...4 2.1. Připojení napájecího napětí...4 2.2. Připojení
CZ.1.07/1.1.14/01.0032 Inovace výuky v Písku a okolí 2012-2014. Pracovní list. Automatizační cvičení. Konfigurace inteligentní instalace Ego-n
Pracovní list Automatizační cvičení Konfigurace inteligentní instalace Ego-n Ovládání GSM Vypracoval žák Jméno, příjmení Datum vypracování Datum odevzdání SPŠ a VOŠ Písek, Karla Čapka 402, 397 11 Písek
Úloha 9. Stavové automaty: grafická a textová forma stavového diagramu, příklad: detektory posloupností bitů.
Úloha 9. Stavové automaty: grafická a textová forma ového diagramu, příklad: detektory posloupností bitů. Zadání 1. Navrhněte detektor posloupnosti 1011 jako ový automat s klopnými obvody typu. 2. Navržený
Téma Přetvoření nosníků namáhaných ohybem
Pružnost plsticit,.ročník bklářského studi Tém Přetvoření nosníků nmáhných ohbem Zákldní vth předpokld řešení Přetvoření nosníků od nerovnoměrného oteplení etod přímé integrce diferenciální rovnice ohbové
5.2.4 Kolmost přímek a rovin II
5..4 Kolmost přímek rovin II Předpokldy: 503 Př. 1: Zformuluj stereometrické věty nlogické k plnimetrické větě: ným bodem lze v rovině k dné přímce vést jedinou kolmici. Vět: ným bodem lze v prostoru k
je parciální derivace funkce f v bodě a podle druhé proměnné (obvykle říkáme proměnné
1. Prciální derivce funkce více proměnných. Prciální derivce funkce dvou proměnných. Je-li funkce f f(, ) definován v množině D f R 2 bod ( 1, 2 ) je vnitřním bodem množin D f, pk funkce g 1 (t) f(t, 2
Pneumatické pohony do velikosti 750 cm² Typ 3271 Typ 3277 pro integrovanou montáž pozicionéru
Pneumtické pohony do velikosti 750 cm² Typ 3271 Typ 3277 pro integrovnou montáž pozicionéru Použití Zdvihový pohon vhodný především pro montáž n ventily konstrukce 240, 250, 280, 290 mikroventil typu 3510
3.2. LOGARITMICKÁ FUNKCE
.. LOGARITMICKÁ FUNKCE V této kpitole se dovíte: jk je definován ritmická funkce (ritmus) jké má ákldní vlstnosti; důležité vorce pro práci s ritmickou funkcí; co nmená ritmovt odritmovt výr. Klíčová slov
MINISTERSTVO PRO MÍSTNÍ ROZVOJ Národní orgán pro koordinaci POKYN PRO TVORBU A OBSAH ZPRÁVY O REALIZACI OPERAČNÍHO PROGRAMU PRO MONITOROVACÍ VÝBOR
MINISTERSTVO PRO MÍSTNÍ ROZVOJ Národní orgán pro koordinci POKYN PRO TVORBU A OBSAH ZPRÁVY O REALIZACI OPERAČNÍHO PROGRAMU PRO MONITOROVACÍ VÝBOR ŘÍJEN 2014 MINISTERSTVO PRO MÍSTNÍ ROZVOJ Odbor řízení
Minimální záznam RDA/MARC 21 pro speciální (netextové) monografické zdroje
Minimální záznm RDA/MARC 21 pro speciální (netextové) monogrfické zdroje Indikátory u polí jsou vyznčeny pouze, pokud znmenjí rozdíl v typu zpisovných údjů. p údj je povinný vždy údj je povinný, pokud
Title: IX 6 11:27 (1 of 6)
PŘEVODNÍKY ANALOGOVÝCH A ČÍSLICOVÝCH SIGNÁLŮ Převodníky umožňující transformaci číslicově vyjádřené informace na analogové napětí a naopak zaujímají v řídícím systému klíčové postavení. Značná část měřených