PODPORA ELEKTRONICKÝCH FOREM VÝUKY

Rozměr: px
Začít zobrazení ze stránky:

Download "PODPORA ELEKTRONICKÝCH FOREM VÝUKY"

Transkript

1 INVE STICE DO ROZV O JE V ZDĚL ÁV Á NÍ PODPORA ELEKTRONICKÝCH FOREM VÝUKY CZ.1.07/1.1.06/ Tento projekt je financován z prostředků ESF a státního rozpočtu ČR. SOŠ informatiky a spojů a SOU, Jaselská 826, Kolín 1

2 INVE STICE DO ROZV O JE V ZDĚL ÁV Á NÍ ČÍSLICOVÁ TECHNIKA ČÍSLICOVÁ TECHNIKA 2 Autorem tohoto výukového materiálu je Ing. Miroslav Veverka SOŠ informatiky a spojů a SOU, Jaselská 826, Kolín 2

3 OBSAH Sekvenční logické obvody Klopné obvody Registry Čítače Literatura 3

4 Sekvenční logické obvody

5 Sekvenční logické obvody výstupní stav závisí nejen na současné hodnotě vstupních hodnot, ale i na předcházejících vstupních stavech jejich základní charakteristickou vlastností je paměť paměťové chování se realizuje zpětnou vazbou vstup kombinační obvod výstup paměť zpětná vazba 5

6 Klopné obvody

7 Klopné obvody tvoří základní prvky sekvenčních logických obvodů sekvenční logické obvody jsou řízeny periodickým signálem hodinami (clock) klopný obvod: bistabilní má dva stabilní stavy, setrvává ve stavu, dokud není vstupním signálem překlopen do druhého monostabilní má jeden stabilní stav, v němž setrvává, do druhého ho překlopí vstupní signál, po chvilce se vrací zpět do stabilního stavu astabilní má dva nestabilní stavy, mezi nimiž stále přechází kmitá 7

8 Klopný obvod RS má dva vstupy: má dva výstupy: S set nastavení R reset nulování Q a Q jejich stav je vzájemně opačný je-li Q=1 a Q=0 obvod je nastaven ("nahozen") je-li Q=0 a Q=1 obvod je nulován schematická značka Q Q 8

9 Klopný obvod RS z hradel NOR z pravdivostní tabulky hradla NOR vyplývá, že je-li na jednom ze vstupů log. 1, pak je výstup v log. 0 říkáme, že log. 1 je u hradla NOR agresivní po přivedení napětí se klopný obvod vlivem rozptylu vlastností součástek překlopí do předem nedefinovaného stavu R S A B Y Q Q 9

10 Činnost KO z hradel NOR předpokládejme, že klopný obvod (KO) se překlopí do stavu Q=0 log.1 se z výstupu Q přenese na vstup horního hradla a "potvrdí" Q=0 R=0 1 1 Q=0 S=0 0 1 Q =1 A B Y

11 Činnost KO z hradel NOR nastavíme S=1 "agresivní" 1 na dolním hradle způsobí Q'=0 dvě 0 na horním hradle způsobí Q=1 KO se nastaví: Q=1 R=0 0 1 Q=1 S=1 1 1 Q =0 A B Y

12 Činnost KO z hradel NOR změní li se hodnota S=0, stav KO se nezmění, protože kombinace 10 na spodním hradle dává stále hodnotu výstupu 0 KO si pamatuje předchozí stav Q=1 R=0 0 1 Q=1 S=0 1 1 Q =0 A B Y

13 Činnost KO z hradel NOR nastavíme R=1 dvě 1 na horním hradle způsobí Q=0 dvě 0 na dolní hradle způsobí Q'=1 KO se vynuluje Q=0 R=1 1 1 Q=0 S=0 0 1 Q =1 A B Y

14 Činnost KO z hradel NOR změní li se hodnota R=0, stav KO se nezmění, protože kombinace 01 na horním hradle dává stále hodnotu výstupu 0 KO zůstává vynulován: Q=0 R=0 1 1 Q=0 S=0 0 1 Q =1 A B Y

15 Činnost KO z hradel NOR zvláštní případ nastane, bude-li současně R=1 a S=1, pak na výstupech bude Q=0 a současně Q'=0 tento stav je v rozporu s definicí výstupních signálů, ty musí být vzájemně opačné navíc při současném zániku signálů (R=S=0) se KO překlopí do náhodného stavu R=1 1 1 Q=0 stavu R=S=1 se musíme vyhnout S=1 0 1 Q =0 A B Y

16 Činnost KO z hradel NOR pravdivostní tabulka S R Q 0 0 nemění stav zakázaný stav časový diagram t 0 KO je v klidovém stavu t 1 S=1, pak Q=1, zůstává i po S=0 t 2 R=1, pak Q=O t 3 R=1, pak Q=1 t 4 stav se nemění, již v t 3 byl překlopen S R Q Q t 0 t 1 t 2 t 3 t 4 t 5 16

17 Klopný obvod RS z hradel NAND klopný obvod RS lze také sestavit z hradel NAND u hradla NAND je "agresivní" na vstupu úroveň log. 0, při jejím výskytu je na výstupu log. 0 abychom vyhověli definici vstupů R a S, tedy aby pro překlopení obvodu byla na jednom ze vstupů log. 1, a zároveň platila pravdivostní tabulka, musíme před vstupy zařadit invertory S R & & Q Q S R 1 1 & & Q Q A B Y

18 Odstranění zákmitů spínače pomocí KO RS mechanické spínače při sepnutí i vypnutí trpí zákmity opakovanými sepnutími R +5V 1k2 nesepnuto výstup Tlačítko rozhodovací úroveň sepnuto +5V první dotyk kontaktu s dorazem 1 nebo 2 překlopí KO RS, ostatní dotyky již se neprojeví PŘ 1 2 & & Q +5V 18

19 Klopný obvod RST KO RS je tzv. asynchronní obvod, výstup ihned reagoval na vstupní hodnoty RST je synchronní KO, obvod je řízen hodinovými impulzy (clock, označuje se C nebo T), není-li hodinový signál aktivní, jakákoliv činnost na vstupech se na výstupu neprojeví S C R T Q Q 19

20 Klopný obvod RST pravdivostní tabulka je stejná jako u asynchronního RS KO, platí však pro stav, kdy T=1 časový diagram: kvůli zpoždění hradel musí být na hodinovém vstupu signál dříve, než na vstupech R nebo S, jinak obvod nestačí reagovat (čas t 3 ) T S R Q 0 X X nemění stav nemění stav zakázaný stav T S R Q t 0 t 1 t 2 t 3 t 4 t 5 20

21 Dynamické vstupy klopných obvodů obvod RST během hodinového impulzu (při T=1) je vystaven rušení budou-li se na vstupech R a S měnit hodnoty, bude se měnit i výstup Q to je obvykle nežádoucí chování jedna cesta snížení rizika rušení je zkrácení hodinového impulzu to je technicky problematické a nepoužívá se spolehlivější cesta je upravit klopný obvod tak, aby reagoval na změnu úrovně tomu říkáme dynamické řízení 21

22 Dynamické vstupy klopných obvodů řízení KO: na náběžnou hranu (čelo) impulzu na sestupnou hranu (týl) impulzu T náběžná hrana sestupná hrana S C R T Q Q S C R T Q Q 22

23 Klopný obvod D vznikne úpravou KO RS, mezi vstupy R a S je zapojen invertor, tím se vyloučí možnost neurčitého stavu stav na vstupu D se při T=1 zapíše na výstup Q (Q=D), výstup setrvá na hodnotě i po skončení T KO D jsou v dvojím provedení: ovládané úrovní log.1 na T latch ovládané náběžnou hranou na T T D Q 0 0 nemění stav 0 1 nemění stav

24 Klopný obvod JK jiný způsob zamezení nežádoucího stavu KO RS při zachování dvou vstupů je předřazení hradel AND před vstupy R a S na obou výstupech se nikdy neobjeví log.1 současně, protože Q a Q' jsou navzájem opačné 24

25 Klopný obvod JK obvod reaguje na náběžnou hranu signálu C, hodnoty na datových vstupech musí předcházet před C J=K=0: výstup Q se nemění, pamatuje si předchozí stav J K: výstup Q kopíruje hodnotu ze vstupu J J=K=1: na každou náběžnou hranu C se výstup mění na opačnou hodnotu dělí kmitočet dvěma J K Q C 0 0 předchozí stav J K 1 1 překlopení Q 25

26 Dvojčinný klopný obvod JK klopné obvody se konstruují jako master-slave, tím se odstraní náchylnost na rušení při náběžné hraně hodinového pulzu se načte vstupní hodnota do obvodu master, slave je blokován (C=0) při sestupné hraně hodinového pulzu předá master data na člen slave (díky invertoru je na jeho vstupu náběžná hrana) a změní se výstup master slave J C K 1 J C K Q Q 26

27 Asynchronní vstupy klopných obvodů vstupy klopných obvodů (R,S,D,J,K) jsou synchronně s hodinovými pulzy (C, někdy T) čteny a přenášeny na výstup klopné obvody mají další vstupy pro nastavení (Q=1) a pro vynulování (Q=0) na tyto vstupy reaguje klopný obvod ihned nezávisle na svém stavu, na stavu datových vstupů i na stavu hodinového pulzu mají naprostou přednost, říkáme jim asynchronní vstupy (nejsou synchronizovány s hodinovými pulzy) 27

28 Asynchronní vstupy klopných obvodů u klopných obvodů D a JK jsou to vstupy: S (set) nastaví Q=1 R (reset) nastaví Q=0 mohou být aktivní v logické 1 logické 0 pozor: oba vstupy nesmí být v aktivní úrovni současně, musíme to zařídit vhodným zapojením log.1 log.0 28

29 Klopný obvod D 7474 kompletní funkční tabulka klopného obvodu D typu 7474 obvod je řízen náběžnou hranou hod. signálu náběžná hrana X libovolná úroveň S' R' C D Q Q' režim 0 1 X X 1 0 asynchronní 1 0 X X 0 1 asynchronní 0 0 X X 1 1 asynchronní, neurčitý stav synchronní synchronní X Q Q' beze změn D C S R T Q Q 29

30 Klopný obvod D vytvořený z JK klopný obvod je univerzálně použitý pro různé aplikace KO D vznikne zapojením invertoru mezi vstupy J a K invertor zamezí, aby vstupy J a K měly stejnou úroveň chová se jako standardní klopný obvod D, reaguje na sestupnou hranu hodinového pulzu značí sestupnou hranu hodinového pulzu D C 1 J C K TT Q D C Q 0 0 Q 1 1 X 0 předchozí stav 30

31 Klopný obvod T vytvořený z JK klopný obvod T pracuje jako dělič kmitočtu dvěma při T=1 se z výstupu Q odebírá poloviční kmitočet, než jaký se přivádí na vstup C T C J C K TT Q Q T C Q 0 předchozí stav 1 Q' - překlopí se X 0 předchozí stav 31

32 Registry

33 Registry registr je složen z paměťových buněk statické registry (paměťové), uchovávají data v jednotlivých buňkách posuvné registry posouvají data v registru doleva či doprava 33

34 Statické registry slouží pro vložení a uchování n-bitové informace lze je sestavit z klopných obvodů D i JK hodinovým pulzem C (u D náběžná, u JK sestupná hrana) se hodnoty ze vstupů a0 až a3 zapíší na výstupy Q0 až Q3 34

35 Posuvné registry slouží k posouvání (stěhování) informace doleva či doprava pomocí hodinového (posouvacího) signálu rozdělení: sériový vstup, sériový výstup sériový vstup, paralelní výstup paralelní vstup, sériový výstup paralelní vstup, paralelní výstup sériový informace vstupuje nebo vystupuje v jednom místě paralelní informace z jednotlivých bitů jsou přístupné všechny najednou 35

36 Posuvný registr D pro vynulování všech klopných obvodů slouží vstup Nulování registrem budeme posouvat jeden impulz přivedený na vstup Data posun se realizuje náběžnou hranou na vstupu Posuv 36

37 Časový diagram posuvného registru D jednotkový impulz ze vstupu Data cestuje zleva doprava celým registrem, až je úplně "vystrčen" ven po ukončení vstupního impulzu se na vstup Data přivádí log. 0 vstupní impulz musí začínat před náběžnou hranou signálu na vstupu Posuv a musí končit před příchodem následující náběžné hrany Posuv Data Q0 Q1 Q2 Q3 37

38 Časový diagram posuvného registru D na vstup Data přivedeme log.1 a ponecháme ji tam registr se postupně po 4 impulzech na vstupu Posuv zaplní logickými jedničkami Posuv Data Q0 Q1 Q2 Q3 38

39 Posuvný registr JK posuvný registr lze též sestavit z klopných obvodu JK vlastní posuv se realizuje při sestupné hraně signálu Posuv Posuv Data Q0 Q1 Q2 Q3 39

40 Kruhový registr JK vznikne propojením výstupu Q posledního členu na vstup J prvního členu, stejně i Q' se připojí na K předpokládáme výchozí stav KO0=1, KO1=0, KO2=0, KO3=0 40

41 Kruhový registr JK jeho pravdivostní tabulka: informace v kruhovém registru obíhá stále dokola časový diagram: Posuv Q0 Q1 Q2 Q3 posuv KO0 KO1 KO2 KO

42 Johnsonův čítač je zvláštním případem kruhového registru zpětná vazba je zapojena "křížem" výstup Q posledního členu je přiveden na vstup K prvního členu, podobně Q' se přivede na vstup J 42

43 Johnsonův čítač pravdivostní tabulka: posun KO0 KO1 KO2 KO registr se postupně zleva plní log.1, po naplnění se zase zleva plní log.0 celý cyklus se po 8 krocích opakuje 43

44 Johnsonův čítač časový diagram: posun Q0 Q1 Q2 Q3 44

45 Čítače

46 Čítače čítače jsou sekvenční logické obvody vytvořené z klopných obvodů používají se k počítání počtu impulzů, které se přivádějí na jejich vstup tyto impulzy způsobí, že klopné obvody v čítači se mění takovým způsobem, že jejich stav v binárním kódu vyjadřuje počet vstupních impulzů 46

47 Rozdělení čítačů podle způsobu spouštění: synchronní asynchronní podle použitého kódu: binární (dvojkové) BCD (desítkové) podle směru čítání: vzestupné (čítají vpřed, nahoru) sestupné (čítají vzad, dolů) reverzibilní (čítají oběma směry) 47

48 Základ čítačů základem čítačů je klopný obvod zapojený jako dělič kmitočtu dvěma klopný obvod D: klopný obvod JK: 48

49 Počet stupňů čítače čítač vznikne kaskádním zapojením základních stupňů počet stupňů čítače definuje číslo, to kterého čítač čítá, pak se celý cyklus opakuje od nuly počet stavů je dán vztahem: 2 n kde n je počet stupňů Počet stupňů Počet stavů čítače

50 Počet stupňů čítače výstup A je nejnižší bit (s nejnižší váhou), výstup D je nejvyšší bit (s největší váhou) na výstupu A je 1/2 vstupního kmitočtu na výstupu B je 1/4 vstupního kmitočtu na výstupu C je 1/8 vstupního kmitočtu na výstupu D je 1/16 vstupního kmitočtu 50

51 Asynchronní čítače výstup jednoho stupně je spojen se vstupem následujícího stupně změna stavů jednotlivých stupňů probíhá postupně, stav klopného obvodu následujícího bitu se změní až po změnách stavů klopných obvodů předchozích bitů výhoda jednodušší zapojení celého čítače nevýhoda menší rychlost čítání, každý člen je spouštěn předchozím, trvá tedy delší dobu, než impulz "projde" všemi členy až na výstup nevýhoda vznik nežádoucích stavů při současné změně více výstupů 51

52 4 bitový asynchronní čítač nahoru použijeme JK klopné obvody čítání nahoru příchodem impulzu na vstup se stav čítače zvětší o 1 CT CT A B C D IN U1 CLR QA QB QC QD Hodiny D C B A

53 4 bitový asynchronní čítač nahoru největší číslo uchované ve 4 bitovém čítači je 1111 B, to je 15 dekadicky po dalším impulzu se stav změní na 0000 došlo k přetečení čítače časový diagram: vstup A B C D 53

54 4 bitový asynchronní čítač nahoru chování sekvenčního obvodu lze také popsat stavovým diagramem stavový diagram se skládá zuzlů, které představují stav obvodu, a z šipek, ty představují směr přechodu z jednoho stavu do dalšího ze stavového diagramu lze vyčíst: zda vznikl uzavřený cyklus jaké je pořadí stavů jaká je délka cyklu

55 4 bitový asynchronní čítač dolů vznikne tak, že na čítací vstup následujícího stupně přivedeme signál Q' z předchozího stupně čítání dolů příchodem impulzu na vstup se stav čítače zmenší o 1 čítač čítá od hodnoty 1111 B dolů k hodnotě 0000 B po dalším impulzu se stav změní na 1111 došlo k podtečení čítače Hodiny D C B A

56 4 bitový asynchronní čítač dolů čítač dolů čítač nahoru 56

57 4 bitový asynchronní čítač dolů časový diagram: vstup A B C D 57

58 4 bitový asynchronní čítač dolů stavový diagram:

59 Synchronní čítače čítané impulzy jsou přivedeny na hodinové vstupy všech klopných obvodů všechny klopné obvody mění svůj stav najednou, se zpožděním jediného členu, nezávisle na počtu stupňů "synchronizační princip": aktuální stupeň překlápí pouze v případě, jsou-li všechny předchozí stupně v log.1 výhody malé zpoždění, rychlejší, nevznikají na výstupu nežádoucí mezistavy nevýhoda složitější zapojení 59

60 Čtyřbitový synchronní čítač hradlo H1 dovolí překlopit členu C jen, platí-li A=B=1 člen D může klopit při A=B=C=1 časový diagram, stavový diagram i pravdivostní tabulka jsou shodné jako u asynchronního čítače 60

61 Zkrácení cyklu čítače v některých případech potřebujeme, aby čítač čítal kratší cyklus, než odpovídá počtu stupňů (2 n ) typickou metodou je dekódování stavu, který už nechceme a aktivování vstupů pro nastavení nebo nulování (R, S) příklad: Hrací kostka navrhneme tříbitový čítač, který bude simulovat stavy jako u hrací kostky, tj. čísla 1 až 6 řešení: chceme, aby po stavu 6 nastal stav 1, nechceme tedy stav 7 (111 B ) 61

62 Zkrácení cyklu čítače jakmile má nastat stav 7, tento stav dekódujeme hradlem AND a člen A nastavíme (vstup S), členy B a C vynulujeme (vstup R) po zapnutí čítač poběží od stavu 0 62

63 Zkrácení cyklu čítače stavový diagram kostky: časový diagram: 5 4 Cl A B C AND

64 Desítkové (BCD) čítače mají 10 stavů čítají od 0 do 9 a pak se cyklus opakuje stavový diagram: Hodiny D C B A

65 Desítkové (BCD) čítače časový diagram vstup A B C D detekujeme stav 10 binárně 1010 (pořadí DCBA, A=0, B=1, C=0, D=1) a nastane-li, nulujeme všechny klopné obvody asynchronním vstupem R 65

66 Desítkové (BCD) čítače u obvodů A a C využijeme negované výstupy, pak nám stačí jedno čtyřvstupé hradlo AND 66

67 Literatura 67

68 Literatura BERNARD, Jean-Michel, HUGON, Jean, LE CORVEC, Robert. Od logických obvodů k mikroprocesorům. Praha : SNTL, s. MATOUŠEK, David. Číslicová technika : základy konstruktérské praxe. 1. vyd. Praha : BEN - technická literatura, s. ISBN ANTOŠOVÁ, Marcela, DAVÍDEK, Vratislav. Číslicová technika : učebnice. 1. vyd. České Budějovice : KOPP, s. ISBN MALINA, Václav. Digitální technika. 1. vyd. České Budějovice : KOPP, s. BAYER, Jiří, HANZÁLEK, Zdeněk, ŠUSTA, Richard. Logické systémy pro řízení. 1. vyd. Praha : Vydavatelství ČVUT, s. ŠIMEK, Tomáš, BURGET, Pavel. Elektronické systémy 1 : přednášky. 1. vyd. Praha : Vydavatelství ČVUT, s. 68

ASYNCHRONNÍ ČÍTAČE Použité zdroje:

ASYNCHRONNÍ ČÍTAČE Použité zdroje: ASYNCHRONNÍ ČÍTAČE Použité zdroje: Antošová, A., Davídek, V.: Číslicová technika, KOPP, České Budějovice 2007 http://www.edunet.souepl.cz www.sse-lipniknb.cz http://www.dmaster.wz.cz www.spszl.cz http://mikroelektro.utb.cz

Více

SEKVENČNÍ LOGICKÉ OBVODY

SEKVENČNÍ LOGICKÉ OBVODY Sekvenční logický obvod je elektronický obvod složený z logických členů. Sekvenční obvod se skládá ze dvou částí kombinační a paměťové. Abychom mohli určit hodnotu výstupní proměnné, je potřeba u sekvenčních

Více

Registry a čítače část 2

Registry a čítače část 2 Registry a čítače část 2 Vypracoval SOU Ohradní Vladimír Jelínek Aktualizace září 2012 Úvod Registry a čítače jsou častým stavebním blokem v číslicových systémech. Jsou založeny na funkci synchronních

Více

Sekvenční logické obvody

Sekvenční logické obvody Název a adresa školy: Střední škola průmyslová a umělecká, Opava, příspěvková organizace, Praskova 399/8, Opava, 746 01 Název operačního programu: OP Vzdělávání pro konkurenceschopnost, oblast podpory

Více

Projekt Pospolu. Sekvenční logické obvody Klopné obvody. Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych.

Projekt Pospolu. Sekvenční logické obvody Klopné obvody. Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych. Projekt Pospolu Sekvenční logické obvody Klopné obvody Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych. Rozlišujeme základní druhy klopných sekvenčních obvodů: Klopný obvod

Více

1 z 16 11.5.2009 11:33 Test: "CIT_04_SLO_30z50" Otázka č. 1 U Mooreova automatu závisí okamžitý výstup Odpověď A: na okamžitém stavu pamětí Odpověď B: na minulém stavu pamětí Odpověď C: na okamžitém stavu

Více

Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač

Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač (Řídící elektronika BREB) Autoři textu: doc. Dr. Ing. Miroslav

Více

Typy a použití klopných obvodů

Typy a použití klopných obvodů Typy a použití klopných obvodů Klopné obvody s hodinovým vstupem mění svůj stav, pokud hodinový vstup má hodnotu =. Přidáním invertoru před hodinový vstup je lze upravit tak, že budou měnit svůj stav tehdy,

Více

BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE

BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE Úvod Účelem úlohy je seznámení s funkcemi a zapojeními několika sekvenčních logických obvodů, s tzv. bistabilními klopnými obvody a čítači. U logických obvodů se často

Více

BDIO - Digitální obvody

BDIO - Digitální obvody BIO - igitální obvody Ústav Úloha č. 6 Ústav mikroelektroniky ekvenční logika klopné obvody,, JK, T, posuvný registr tudent Cíle ozdíl mezi kombinačními a sekvenčními logickými obvody. Objasnit principy

Více

Logické funkce a obvody, zobrazení výstupů

Logické funkce a obvody, zobrazení výstupů Logické funkce a obvody, zobrazení výstupů Digitální obvody (na rozdíl od analogových) využívají jen dvě napěťové úrovně, vyjádřené stavy logické nuly a logické jedničky. Je na nich založeno hodně elektronických

Více

2.9 Čítače. 2.9.1 Úkol měření:

2.9 Čítače. 2.9.1 Úkol měření: 2.9 Čítače 2.9.1 Úkol měření: 1. Zapište si použité přístroje 2. Ověřte časový diagram asynchronního binárního čítače 7493 3. Ověřte zkrácení početního cyklu čítače 7493 4. Zapojte binární čítač ve funkci

Více

Návrh čítače jako automatu

Návrh čítače jako automatu ávrh čítače jako automatu Domovská URL dokumentu: http://dce.felk.cvut.cz/lsy/cviceni/pdf/citacavrh.pdf Obsah ÁVRH ČÍTAČE JAO AUTOMATU.... SYCHROÍ A ASYCHROÍ AUTOMAT... 2.a. Výstupy automatu mohou být

Více

Logické obvody. Přednáška 6. Prof. RNDr. Peter Mikulecký, PhD.

Logické obvody. Přednáška 6. Prof. RNDr. Peter Mikulecký, PhD. Logické obvody Přednáška 6 Prof. RNDr. Peter Mikulecký, PhD. Logické obvody Logické obvody jsou obvody, které slouží k realizaci logických funkcí a jsou základem všech číslicových systémů. Pracují s diskrétními

Více

Číslicová technika 2. část učební texty (HS - určeno pro potřebu SPŠ Zlín) Str.: - 1 -

Číslicová technika 2. část učební texty (HS - určeno pro potřebu SPŠ Zlín) Str.: - 1 - Číslicová technika 2. část učební texty (H - určeno pro potřebu PŠ Zlín) tr.: - - 7. EKVENČNÍ OBVOY tav výstupu sekvenčních logických členů a obvodů závisí nejen na kombinaci vstupních proměnných, ale

Více

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty.

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty. Akademický rok 2016/2017 Připravil: adim Farana Technická kybernetika Klopné obvody, sekvenční funkční diagramy, programovatelné logické automaty 2 Obsah Klopné obvody:. D. JK. Použití klopných obvodů.

Více

Zvyšování kvality výuky technických oborů

Zvyšování kvality výuky technických oborů Zvyšování kvality výuky technických oborů Klíčová aktivita V.2 Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol Téma V.2.1 Logické obvody Kapitola 20 Klopný obvod

Více

VY_32_INOVACE_CTE_2.MA_18_Čítače asynchronní, synchronní. Střední odborná škola a Střední odborné učiliště, Dubno Ing.

VY_32_INOVACE_CTE_2.MA_18_Čítače asynchronní, synchronní. Střední odborná škola a Střední odborné učiliště, Dubno Ing. Číslo projektu Číslo materiálu Z.1.07/1.5.00/34.0581 VY_3_INOVAE_TE_.MA_18_Čítače asynchronní, synchronní Název školy Autor Tematická oblast Ročník Střední odborná škola a Střední odborné učiliště, Dubno

Více

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je vstup určen jen výhradně kombinací vstupních veličin. Hodnoty

Více

Struktura a architektura počítačů (BI-SAP) 4

Struktura a architektura počítačů (BI-SAP) 4 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Způsoby realizace této funkce:

Způsoby realizace této funkce: KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je výstup určen jen výhradně kombinací vstupních veličin. Hodnoty výstupních veličin nezávisejí na předcházejícím stavu logického obvodu, což znamená, že kombinační

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

Číslicové obvody základní pojmy

Číslicové obvody základní pojmy Číslicové obvody základní pojmy V číslicové technice se pracuje s fyzikálními veličinami, které lze popsat při určité míře zjednodušení dvěma stavy. Logické stavy binární proměnné nabývají dvou stavů:

Více

Sekvenční logické obvody

Sekvenční logické obvody Sekvenční logické obvody Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou Sekvenční obvody - paměťové členy, klopné obvody flip-flop Asynchronní klopné obvody

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

Cíle. Teoretický úvod

Cíle. Teoretický úvod Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních

Více

Struktura a architektura počítačů (BI-SAP) 3

Struktura a architektura počítačů (BI-SAP) 3 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 3 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ Odlišnosti silových a ovládacích obvodů Logické funkce ovládacích obvodů Přístrojová realizace logických funkcí Programátory pro řízení procesů Akční členy ovládacích

Více

VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola a Střední odborné učiliště, Dubno

VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola a Střední odborné učiliště, Dubno Číslo projektu Číslo materiálu Název školy Autor Tematická oblast Ročník CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Klopné obvody jsou nejjednodušší sekvenční součástky Záleží na předcházejícím stavu Asynchronní klopné obvody reagují na změny vstupu okamžitě Synchronní

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Předmět Ústav Úloha č. 9 BIO - igitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Cíle Pochopení funkce obvodu pro odstranění zákmitů na

Více

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač Y36SAP 27 Y36SAP-4 Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač 27-Kubátová Y36SAP-Logické obvody typické Často používané funkce Majorita:

Více

3. Sekvenční logické obvody

3. Sekvenční logické obvody 3. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody příklad sekv.o. Příklad sledování polohy vozíku

Více

Sylabus kurzu Elektronika

Sylabus kurzu Elektronika Sylabus kurzu Elektronika 5. ledna 2004 1 Analogová část Tato část je zaměřena zejména na elektronické prvky a zapojení v analogových obvodech. 1.1 Pasivní elektronické prvky Rezistor, kondenzátor, cívka-

Více

4. Elektronické logické členy. Elektronické obvody pro logické členy

4. Elektronické logické členy. Elektronické obvody pro logické členy 4. Elektronické logické členy Kombinační a sekvenční logické funkce a logické členy Elektronické obvody pro logické členy Polovodičové paměti 1 Kombinační logické obvody Způsoby zápisu logických funkcí:

Více

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1 Logické obvody 10 Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita 6.12.2007 Logické obvody - 10 hazardy 1 Neúplné čítače Návrh čítače M5 na tabuli v kódu binárním a Grayově

Více

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Číselné vyjádření hodnoty. Kolik váží hrouda zlata? Čísla a logika Číselné vyjádření hodnoty Au Kolik váží hrouda zlata? Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží

Více

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/ Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/34.0452 Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0452 OV_2_51_Posuvné registry použití Název

Více

5. Sekvenční logické obvody

5. Sekvenční logické obvody 5. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody - příklad asynchronního sekvenčního obvodu 3.

Více

Schmittův klopný obvod

Schmittův klopný obvod Schmittův klopný obvod Použité zdroje: Antošová, A., Davídek, V.: Číslicová technika, KOPP, České Budějovice 2007 Malina, V.: Digitální technika, KOOP, České Budějovice 1996 http://pcbheaven.com/wikipages/the_schmitt_trigger

Více

2-LC: ČÍSLICOVÉ OBVODY

2-LC: ČÍSLICOVÉ OBVODY 2-LC: ČÍSLICOVÉ OBVODY Cíl měření: Ověření základních vlastností číslicových integrovaných obvodů. 1) čítač (asynchronní, synchronní) 2) multiplexer a demultiplexer 3) mikroprocesor ( S 2441, str. 155)

Více

Zvyšování kvality výuky technických oborů

Zvyšování kvality výuky technických oborů Zvyšování kvality výuky technických oborů Klíčová aktivita V.2 Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol Téma V.2.1 Logické obvody Kapitola 21 Čítače Ing.

Více

VY_32_INOVACE_CTE_2.MA_19_Registry posuvné a kruhové. Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl

VY_32_INOVACE_CTE_2.MA_19_Registry posuvné a kruhové. Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_CTE_2.MA_19_egistry posuvné a kruhové Název školy Autor Tematická oblast očník Střední odborná škola a Střední odborné učiliště, ubno

Více

Pohled do nitra mikroprocesoru Josef Horálek

Pohled do nitra mikroprocesoru Josef Horálek Pohled do nitra mikroprocesoru Josef Horálek Z čeho vycházíme = Vycházíme z Von Neumannovy architektury = Celý počítač se tak skládá z pěti koncepčních bloků: = Operační paměť = Programový řadič = Aritmeticko-logická

Více

18A - PRINCIPY ČÍSLICOVÝCH MĚŘICÍCH PŘÍSTROJŮ Voltmetry, A/D převodníky - principy, vlastnosti, Kmitoměry, čítače, fázoměry, Q- metry

18A - PRINCIPY ČÍSLICOVÝCH MĚŘICÍCH PŘÍSTROJŮ Voltmetry, A/D převodníky - principy, vlastnosti, Kmitoměry, čítače, fázoměry, Q- metry 18A - PRINCIPY ČÍSLICOVÝCH MĚŘICÍCH PŘÍSTROJŮ Voltmetry, A/D převodníky - principy, vlastnosti, Kmitoměry, čítače, fázoměry, Q- metry Digitální voltmetry Základním obvodem digitálních voltmetrů je A/D

Více

1. sekvenčné klopné obvody

1. sekvenčné klopné obvody 1. sekvenčné klopné obvody Sekvenčné obvody (nazývané aj sekvenčné automaty) sú digitálne elektronické obvody, u ktorých závisí stav výstupov okrem aktuálneho stavu vstupov aj od minulého stavu vstupov.

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více

Zvyšování kvality výuky technických oborů

Zvyšování kvality výuky technických oborů Zvyšování kvality výuky technických oborů Klíčová aktivita V. 2 Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol Téma V. 2.4 Prvky elektronických obvodů Kapitola

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Studium klopných obvodů

Studium klopných obvodů Studium klopných obvodů Úkol : 1. Sestavte podle schématu 1 astabilní klopný obvod a ověřte jeho funkce.. Sestavte podle schématu monostabilní klopný obvod a buďte generátorem a sledujte výstupní napětí.

Více

Na trh byl uveden v roce 1971 firmou Signetics. Uvádí se, že označení 555 je odvozeno od tří rezistorů s hodnotou 5 kω.

Na trh byl uveden v roce 1971 firmou Signetics. Uvádí se, že označení 555 je odvozeno od tří rezistorů s hodnotou 5 kω. Časovač 555 NE555 je integrovaný obvod používaný nejčastěji jako časovač nebo generátor různých pravoúhlých signálů. Na trh byl uveden v roce 1971 firmou Signetics. Uvádí se, že označení 555 je odvozeno

Více

Návrh asynchronního automatu

Návrh asynchronního automatu Návrh asynchronního automatu Domovská URL dokumentu: http://dce.felk.cvut.cz/lsy/cviceni/pdf/asyn_automat.pdf Obsah DEFINICE AUTOMATU... 2 KROK 1: ZADÁNÍ... 3 KROK 2: ANALÝZA ZADÁNÍ... 3 KROK 3: VYJÁDŘENÍ

Více

DUM 11 téma: Klopné obvody výklad

DUM 11 téma: Klopné obvody výklad DUM 11 téma: Klopné obvody výklad ze sady: 01 Logické obvody ze šablony: 01 Automatizační technika I Určeno pro 3. ročník vzdělávací obor: 26-41-M/01 Elektrotechnika ŠVP automatizační technika Vzdělávací

Více

Úvod do informačních technologií

Úvod do informačních technologií Úvod do informačních technologií přednášky Jan Outrata září prosinec 2009 (aktualizace září prosinec 2012) Jan Outrata (KI UP) Úvod do informačních technologií září prosinec 2012 1 / 58 Binární logika

Více

1 Digitální zdroje. 1.1 Převod digitálních úrovní na analogový signál. Cílem cvičení je osvojení práce s digitálními zdroji signálu.

1 Digitální zdroje. 1.1 Převod digitálních úrovní na analogový signál. Cílem cvičení je osvojení práce s digitálními zdroji signálu. 1 Digitální zdroje Cílem cvičení je osvojení práce s digitálními zdroji signálu. Cíle cvičení Převod digitálních úrovní na analogový signál Digitální zdroj signálu a BCD dekodér Čítač impulsů Dělička frekvence

Více

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická LOGICKÉ SYSTÉMY PRO ŘÍZENÍ Doc. Ing. Jiří Bayer, CSc Dr.Ing. Zdeněk Hanzálek Ing. Richard Šusta 2000 Vydavatelství ČVUT Předmluva Skriptum

Více

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu Předmět Ústav Úloha č. 10 BDIO - Digitální obvody Ústav mikroelektroniky Komplexní příklad - návrh řídicí logiky pro jednoduchý nápojový automat, kombinační + sekvenční logika (stavové automaty) Student

Více

Aritmetické operace a obvody pro jejich realizaci

Aritmetické operace a obvody pro jejich realizaci Kapitola 4 Aritmetické operace a obvody pro jejich realizaci 4.1 Polyadické číselné soustavy a jejich vlastnosti Polyadické soustavy jsou určeny přirozeným číslem z, kterému se říká základ nebo báze dané

Více

Návrh ovládání zdroje ATX

Návrh ovládání zdroje ATX Návrh ovládání zdroje ATX Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením

Více

Analogově-číslicové převodníky ( A/D )

Analogově-číslicové převodníky ( A/D ) Analogově-číslicové převodníky ( A/D ) Převodníky analogového signálu v číslicový (zkráceně převodník N/ Č nebo A/D jsou povětšině založeny buď na principu transformace napětí na jinou fyzikální veličinu

Více

Návrh synchronního čítače

Návrh synchronního čítače Návrh synchronního čítače Zadání: Navrhněte synchronní čítač mod 7, který čítá vstupní impulsy na vstupu x. Při návrhu použijte klopné obvody typu -K a maximálně třívstupová hradla typu NAND. Řešení: Čítač

Více

Elektronika pro informační technologie (IEL)

Elektronika pro informační technologie (IEL) Elektronika pro informační technologie (IEL) Páté laboratorní cvičení Brno University of Technology, Faculty of Information Technology Božetěchova 1/2, 612 66 Brno - Královo Pole Petr Veigend, iveigend@fit.vutbr.cz

Více

Elektronika pro informační technologie (IEL)

Elektronika pro informační technologie (IEL) Elektronika pro informační technologie (IEL) Čtvrté laboratorní cvičení Brno University of Technology, Faculty of Information Technology Božetěchova 1/2, 612 66 Brno - Královo Pole Petr Veigend,iveigend@fit.vutbr.cz

Více

Úvod do informačních technologií

Úvod do informačních technologií Úvod do informačních technologií Jan Outrata KATEDRA INFORMATIKY UNIVERZITA PALACKÉHO V OLOMOUCI přednášky Binární logika Jan Outrata (Univerzita Palackého v Olomouci) Úvod do informačních technologií

Více

REG10 návod k instalaci a použití 2.část Univerzální časovač a čítač AVC/ 02

REG10 návod k instalaci a použití 2.část Univerzální časovač a čítač AVC/ 02 Programovatelná řídící jednotka REG10 návod k instalaci a použití 2.část Univerzální časovač a čítač AVC/ 02 1 Obsah: 1. Obecný popis... 3 1.1 Popis programu... 3 1.2 Vstupní vyhodnocované hodnoty... 3

Více

Programovatelná počítadla CT6M a CT6S

Programovatelná počítadla CT6M a CT6S Products Elektrické stroje Elektronická počítadla Počítadla s předvolbou Programovatelná počítadla CT6M a CT6S Kombinace počítadlo / časové relé Velký výběr funkcí Funkce počítadla dávek (pouze CT6M-1P

Více

Struktura a architektura počítačů (BI-SAP) 10

Struktura a architektura počítačů (BI-SAP) 10 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 10 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky...

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky... Konečný automat. Syntéza kombinačních a sekvenčních logických obvodů. Sekvenční obvody asynchronní, synchronní a pulzní. Logické řízení technologických procesů, zápis algoritmů a formulace cílů řízení.

Více

Úloha 9. Stavové automaty: grafická a textová forma stavového diagramu, příklad: detektory posloupností bitů.

Úloha 9. Stavové automaty: grafická a textová forma stavového diagramu, příklad: detektory posloupností bitů. Úloha 9. Stavové automaty: grafická a textová forma ového diagramu, příklad: detektory posloupností bitů. Zadání 1. Navrhněte detektor posloupnosti 1011 jako ový automat s klopnými obvody typu. 2. Navržený

Více

Střídací tabule na fotbal

Střídací tabule na fotbal Středoškolská technika 2014 Setkání a prezentace prací středoškolských studentů na ČVUT Střídací tabule na fotbal Jan Šlapák VOŠ a SŠSE Novovysočanská 48/280 Praha 9 1 Úvod Na fotbale se střídací tabule

Více

Jako pomůcka jsou v pravém dolním rohu vypsány binární kódy čísel od 0 do 15 a binární kódy příkazů, které máme dispozici (obr.21). Obr.

Jako pomůcka jsou v pravém dolním rohu vypsány binární kódy čísel od 0 do 15 a binární kódy příkazů, které máme dispozici (obr.21). Obr. Model procesoru Jedná se o blokové schéma složené z registrů, paměti RAM, programového čítače, instrukčního registru, sčítačky a řídicí jednotky, které jsou propojeny sběrnicemi. Tento model má dva stavy:

Více

3/ %,1'(& 83'1 &( &3 )XQNFH. + ; ; ; ; / ; ; + ; EH]H]PuQ\

3/ %,1'(& 83'1 &( &3 )XQNFH. + ; ; ; ; / ; ; + ; EH]H]PuQ\ Vážení zákazníci, dovolujeme si Vás upozornit, že na tuto ukázku knihy se vztahují autorská práva, tzv. copyright. To znamená, že ukázka má sloužit výhradnì pro osobní potøebu potenciálního kupujícího

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktura a architktura počítačů Logické skvnční obvody (bloky) a budič používané v číslicovém počítači Čské vysoké uční tchnické Fakulta lktrotchnická Vr..3 J. Zděnk / M. Chomát 24 st d in d d d 2 d 3

Více

Výukové texty. pro předmět. Automatické řízení výrobní techniky (KKS/ARVT) na téma

Výukové texty. pro předmět. Automatické řízení výrobní techniky (KKS/ARVT) na téma Výukové texty pro předmět Automatické řízení výrobní techniky (KKS/ARVT) na téma Tvorba grafické vizualizace principu řídícího systému - analogové systémy v řízení výrobních strojů Autor: Doc. Ing. Josef

Více

Programovatelné relé Easy (Moeller), Logo (Siemens)

Programovatelné relé Easy (Moeller), Logo (Siemens) Programovatelné Easy (Moeller), Logo (Siemens) Základní způsob programování LOGO Programovaní pomocí P - propojení P s automatem sériovou komunikační linkou - program vytvářen v tzv ovém schématu /ladder

Více

Přednáška - Čítače. 2013, kat. měření, ČVUT - FEL, Praha J. Fischer. A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1

Přednáška - Čítače. 2013, kat. měření, ČVUT - FEL, Praha J. Fischer. A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1 Přednáška - Čítače 2013, kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1 Náplň přednášky Čítače v MCU forma, principy činnosti A3B38MMP, 2013, J.Fischer,

Více

Programování. řídících systémů v reálném čase. Střední odborná škola a Střední odborné učiliště - - Centrum Odborné přípravy Sezimovo Ústí

Programování. řídících systémů v reálném čase. Střední odborná škola a Střední odborné učiliště - - Centrum Odborné přípravy Sezimovo Ústí Střední odborná škola a Střední odborné učiliště - - Centrum Odborné přípravy Sezimovo Ústí Studijní text pro 3. a 4. ročníky technických oborů Programování řídících systémů v reálném čase Verze: 1.11

Více

Úplný systém m logických spojek. 3.přednáška

Úplný systém m logických spojek. 3.přednáška Úplný sstém m logických spojek 3.přednáška Definice Úplný sstém m logických spojek Řekneme, že množina logických spojek S tvoří úplný sstém logických spojek, jestliže pro každou formuli A eistuje formule

Více

TECHNICKÝ POPIS MODULU GRAFIK =============================

TECHNICKÝ POPIS MODULU GRAFIK ============================= listů: 8 list : 1 TECHNICKÝ POPIS MODULU GRAFIK ============================= zpracoval: Nevoral schválil: Cajthaml ZPA, k.p. Nový Bor, listopad 1985 4-151-00342-4 list: 1 list: 2 1. VŠEOBECNĚ Obvody realizované

Více

Systém řízení sběrnice

Systém řízení sběrnice Systém řízení sběrnice Sběrnice je komunikační cesta, která spojuje dvě či více zařízení. V určitý okamžik je možné aby pouze jedno z připojených zařízení vložilo na sběrnici data. Vložená data pak mohou

Více

Title: IX 6 11:27 (1 of 6)

Title: IX 6 11:27 (1 of 6) PŘEVODNÍKY ANALOGOVÝCH A ČÍSLICOVÝCH SIGNÁLŮ Převodníky umožňující transformaci číslicově vyjádřené informace na analogové napětí a naopak zaujímají v řídícím systému klíčové postavení. Značná část měřených

Více

STŘEDNÍ PRŮMYSLOVÁ ŠKOLA STROJNICKÁ A STŘEDNÍ ODBORNÁ ŠKOLA PROFESORA ŠVEJCARA, PLZEŇ, KLATOVSKÁ 109. Miroslav Hůrka MECHATRONIKA

STŘEDNÍ PRŮMYSLOVÁ ŠKOLA STROJNICKÁ A STŘEDNÍ ODBORNÁ ŠKOLA PROFESORA ŠVEJCARA, PLZEŇ, KLATOVSKÁ 109. Miroslav Hůrka MECHATRONIKA STŘEDNÍ PRŮMYSLOVÁ ŠKOLA STROJNICKÁ A STŘEDNÍ ODBORNÁ ŠKOLA PROFESORA ŠVEJCARA, PLZEŇ, KLATOVSKÁ 109 Miroslav Hůrka MECHATRONIKA SOUBOR PŘÍPRAV PRO 3. R. OBORU 26-41-M/01 ELEKTRO- TECHNIKA - MECHATRONIKA

Více

Manuál přípravku FPGA University Board (FUB)

Manuál přípravku FPGA University Board (FUB) Manuál přípravku FPGA University Board (FUB) Rozmístění prvků na přípravku Obr. 1: Rozmístění prvků na přípravku Na obrázku (Obr. 1) je osazený přípravek s FPGA obvodem Altera Cyclone III EP3C5E144C8 a

Více

Komunikace modulu s procesorem SPI protokol

Komunikace modulu s procesorem SPI protokol Komunikace modulu s procesorem SPI protokol Propojení dvouřádkového LCD zobrazovače se sběrnicí SPI k procesotru (dále již jen MCU microcontroller unit) a rozložení pinů na HSES LCD modulu. Komunikace

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

STAVEBNÍ NÁVODY 1 pro činnost v elektro a radio kroužcích a klubech

STAVEBNÍ NÁVODY 1 pro činnost v elektro a radio kroužcích a klubech STAVEBNÍ NÁVODY 1 pro činnost v elektro a radio kroužcích a klubech Nejjednodušší stavební návody Verze V.4, stav k 5. prosinci 2014. Byl upraven Stavební návod na Cvrčka. Víte o dalších zajímavých návodech?

Více

Dělení pamětí Volatilní paměti Nevolatilní paměti. Miroslav Flídr Počítačové systémy LS /11- Západočeská univerzita v Plzni

Dělení pamětí Volatilní paměti Nevolatilní paměti. Miroslav Flídr Počítačové systémy LS /11- Západočeská univerzita v Plzni ělení pamětí Volatilní paměti Nevolatilní paměti Počítačové systémy Vnitřní paměti Miroslav Flídr Počítačové systémy LS 2006-1/11- Západočeská univerzita v Plzni ělení pamětí Volatilní paměti Nevolatilní

Více

PODPORA ELEKTRONICKÝCH FOREM VÝUKY

PODPORA ELEKTRONICKÝCH FOREM VÝUKY INVE STICE DO ROZV O JE V ZDĚL ÁV Á NÍ PODPORA ELEKTRONICKÝCH FOREM VÝUKY CZ.1.07/1.1.06/01.0043 Tento projekt je financován z prostředků ESF a státního rozpočtu ČR. SOŠ informatiky a spojů a SOU, Jaselská

Více

Hlídač světel automobilu

Hlídač světel automobilu Hlídač světel automobilu Jan Perný 24.07.2006 www.pernik.borec.cz 1 Úvod Protože se u nás stalo povinným celoroční svícení a za nedodržení tohoto nařízení hrozí poměrně vysoké sankce, požádal mě bratr,

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Stavové automaty enkódování Proces, který rozhoduje kolik paměťových prvků bude využito v paměťové části. Binární enkódování je nejpoužívanější. j počet stavů

Více

I N V E S T I C E D O R O Z V O J E V Z D Ě L Á V Á N Í. výstup

I N V E S T I C E D O R O Z V O J E V Z D Ě L Á V Á N Í. výstup ELEKTONIKA I N V E S T I C E D O O Z V O J E V Z D Ě L Á V Á N Í 1. Usměrňování a vyhlazování střídavého a. jednocestné usměrnění Do obvodu střídavého proudu sériově připojíme diodu. Prochází jí proud

Více

Inovace bakalářského studijního oboru Aplikovaná chemie. Reg. č.: CZ.1.07/2.2.00/

Inovace bakalářského studijního oboru Aplikovaná chemie. Reg. č.: CZ.1.07/2.2.00/ Inovace bakalářského studijního oboru Aplikovaná chemie Reg. č.: CZ.1.07/2.2.00/15.0247 APLIKACE POČÍTAČŮ V MĚŘÍCÍCH SYSTÉMECH PRO CHEMIKY s využitím LabView Logické stavy, číselné systémy, typy logických

Více

Převod Bin do BCD pomocí Hornerova schématu

Převod Bin do BCD pomocí Hornerova schématu Převod Bin do BCD pomocí Hornerova schématu Každé číslo ve dvojkové soustavě můžeme vyjádřit výrazem: N = ((a m *2+a n-1 )*2+a n-2 )*2+...+a 0 Pokud bychom neaplikovali dekadickou korekci, dostali bychom

Více

VYSOKÁ ŠKOLA BÁŇSKÁ TECHNICKÁ UNIVERZITA OSTRAVA FAKULTA ELEKTROTECHNIKY A INFORMATIKY. Předmět: MODULOVANÉ SIGNÁLY. Semestrální projekt

VYSOKÁ ŠKOLA BÁŇSKÁ TECHNICKÁ UNIVERZITA OSTRAVA FAKULTA ELEKTROTECHNIKY A INFORMATIKY. Předmět: MODULOVANÉ SIGNÁLY. Semestrální projekt VYSOKÁ ŠKOLA BÁŇSKÁ TECHNICKÁ UNIVERZITA OSTRAVA FAKULTA ELEKTROTECHNIKY A INFORMATIKY Předmět: MODULOVANÉ SIGNÁLY Semestrální projekt VARIANTA 40 KOMPARAČNÍ A/D PŘEVODNÍK Vytvořil: Login: Skupina: Tomáš

Více

Otázka 10 - Y36SAP. Zadání. Logické obvody. Slovníček pojmů. Základní logické členy (hradla)

Otázka 10 - Y36SAP. Zadání. Logické obvody. Slovníček pojmů. Základní logické členy (hradla) Otázka 10 - Y36SAP Zadání Logické obvody. Logické funkce, formy jejich popisu. Kombinační obvody a jejich návrh. Sekvenční systém jako konečný automat. Synchronní a asynchronní sekvenční obvody a jejich

Více

2. ÚVOD DO OVLÁDACÍ TECHNIKY

2. ÚVOD DO OVLÁDACÍ TECHNIKY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 2. ÚVOD DO OVLÁDACÍ TECHNIKY OVLÁDACÍ TECHNIKA A LOGICKÉ ŘÍZENÍ 2.1.5 LOGICKÉ FUNKCE Cíle: Po prostudování

Více

1 Zadání. 2 Teoretický úvod. 4. Generátory obdélníkového signálu a MKO

1 Zadání. 2 Teoretický úvod. 4. Generátory obdélníkového signálu a MKO 1 4. Generátory obdélníkového signálu a MKO 1 Zadání 1. Sestavte generátor s derivačními články a hradly NAND s uvedenými hodnotami rezistorů a kapacitorů. Zobrazte časové průběhy v důležitých uzlech.

Více

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/ Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/34.0452 Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0452 OV_2_49_Čítače zkrácení cyklu Název

Více

PLC AUTOMAT SIEMENS SIMATIC S7-200. Úvod k učebním textům. PLC automat SIEMENS SIMATIC S7-200. Základní informace

PLC AUTOMAT SIEMENS SIMATIC S7-200. Úvod k učebním textům. PLC automat SIEMENS SIMATIC S7-200. Základní informace PLC AUTOMAT SIEMENS SIMATIC S7-200 UČEBNÍ TEXTY PRO VÝUKU MECHATRONIKY 1 OBSAH: Úvod k učebním textům... 3 PLC automat SIEMENS SIMATIC S7-200... 3 Základní informace... 3 Popis jednotlivých částí, přehled

Více