Odporúčaná literatúra k predmetu PIO

Rozměr: px
Začít zobrazení ze stránky:

Download "Odporúčaná literatúra k predmetu PIO"

Transkript

1 Odporúčaná literatúra k predmetu PIO 1. Štastný Jakub: FPGA prakticky, BEN - technická literatura, 2011, 200 strán, ISBN: M. Granski: Programmable ICs: the next Innovation Engine. In: 3. Clive Maxfield: FPGAs: Instant Access, Elsevier Science & Technology (United Kingdom), 2008, ISBN: Doug Amos, Austin Lesea and René Richter: FPGA-based Prototyping Methodology Manual (Best Practices in Design-for-Prototyping), Synopsys Press, 2011, ISBN: ( ) 5. *K. Parnell and N. Mehta: Programmable Logic Design Quick Start Handbook, Xilinx *J. Stephenson: Design Guidelines for Optimal Results in FPGAs, Altera, ISE In-Depth Tutorial, UG695 (v13.1), Xilinx XST (Xilinx Synthesis Technology) User Guide, Xilinx, Mike Santarini: Xilinx Architects ARM-Based Processor-First, Processor-Centric Device. In: Xcelljournal, Issue 71, Sencond Quarataer 2010, pp ( 10. Keith DeHaven: Extensible Processing Platform Ideal Solution for a Wide Range of Embedded Systems, WP369 (v1.0) April 27, Xilinx Patrick Dorsey: Xilinx Stacked Silicon Interconnect Technology Delivers Breakthrough FPGA Capacity, Bandwidth, and Power Efficiency, WP380 (v1.0) October 27, XILINX Shyam Chandra: Power 2 You (A Guide to Power Supply Management and Control), Lattice Semiconductor Corporation, Hillsboro, Oregon, USA, September 2010: Second Edition, ISBN: *Demonstration Board for Freescale MC9S08LC60 User s Manual, SofTec Microsystems 14. *CodeWarrior Development Studio, Freescale J. V. Oldfield and R. C. Dorf: Field-Programmable Gate Arrays: Reconfigurable Logic for Rapid prototyping and implementation of digital systems, New York: John Wiley & Sons, Inc., 1995, ISBN: *P. J. Ashenden: The VHDL Cookbook, First Edition, Dept. Computer Science University of Adelaide South Australia, P. J. Ashenden: The Designer's Guide to VHDL, Academic Press, San Diego, 1996, Second edition 2002, ISBN D. J. Smith: HDL Chip Design, A practical Guide for Designing, Synthesizing and Simulating ASIC and FPGA using VHDL or Verilog, th printing 2000, Doone Publications, ISBN K. Skahill, J. Legenhausen, R. Wade, C. Wilner, BL Wilson: Vhdl for Programmable Logic. Addison-Wesley May 1996, ISBN , 593 pages 20. J. M. Rabaey, A. Chandrakasan, B. Nikolic: Digital Integrated Circuits, Prentice Hall; 2 edition, J. Jakabovič: PIO, (elearn Central), 2006

2 22. Eric Bogatin: Signal Integrity - Simplified, Prentice Hall Modern Semiconductor Design Series, 2004, ISBN-13: Howard W. Johnson and Martin Graham: High-Speed Digital Design (A Handbook of Black Magic), Prentice Hall, 1993; ISBN Howard W. Johnson and Martin Graham, High-Speed Signal Propagation (Advanced Black Magic), Prentice Hall, 2003; ISBN X 25. Volnei A. Pedroni: Circuit Design with VHDL, The MIT Press (August 1, 2004), ISBN-10: , ISBN-13: , 375 strán 26. *IEEE Standard VHDL Language Reference Manual, IEEE Std 1076, 2000 Edition, ISBN SS Z. Navabi: VHDL Analysis and Modeling of Digital Systems, McGraw-Hill Professional, 1998, ISBN: Poznámka: tituly označené * si môžete stiahnuť z: Úvod» Pedagogika» Predmety» Inžinierske štúdium» Programovateľné IO (5192)» Literatúra 1. Štastný Jakub: FPGA prakticky, BEN - technická literatura, 2011, 200 strán, ISBN: Kniha pokrývá následující témata: První celek se zabývá základními postupy při návrhu obvodů, zmiňuje moderní programové vybavení a detailně rozebírá jednotlivé kroky při jeho použití. Další část knihy popisuje programovatelná hradlová pole, jejich architektury, vlastnosti a shrnuje kriteria pro výběr vhodného obvodu pro konkrétní aplikaci. Třetí část kráce shrnuje základní konstrukce jazyka VHDL použitelné pro návrh číslicových obvodů. Čtvrý celek je zaměřený na systémový návrh obvodu, předkládá základní pravidla pro implementaci větších logických systémů a shrnuje a vysvětluje dobré návrhové praktiky. Další kapitola kapitola "Aritmetické operátory a složitější funkce" a na ni navazující celky "Datové cesty" a "Obvody pro číslicové zpracování signálů" předkládají možnosti implementace obecných funkcí a jak základních, tak speciálních aritmetických operací. Šestá kapitola "Stavové automaty" je věnována méně známým aspektům návrhu logických struktur pro implementaci stavových automatů. Sedmý celek "Paměti" shrnuje základní techniky a možnosti implementace zmíněných struktur na programovatelných hradlových polích. Předposlední část "Hodiny, reset a asynchronní signály" detailně rozebírá správnou práci s hodinovými signály, implementaci asynchronního resetovacího signálu a často opomíjenou nicméně pro praxi velmi důležitou problematiku práce s asynchronními signály. Konečně osmá část shrnuje základní rozdíly mezi návrhem FPGA obvodů a zákaznických integrovaných obvodů spolu s náměty pro další studium. Publikace je určena jak pro studenty středních odborných a vysokých škol, tak i pro pedagogy a odborníky z praxe. Svým zaměřením a použitými příklady návrhů je vhodná i pro domácí kutily a bastlíře, kteří by rádi ve svých konstrukcích použili programovatelná hradlová pole, ale nemají dostatek informací o praktických aspektech a moderních technikách návrhu číslicových obvodů.

3 3. Clive Maxfield: FPGAs: Instant Access, Elsevier Science & Technology (United Kingdom), 2008, ISBN: Table of Contents

4

5

6

7 4. Doug Amos, Austin Lesea and René Richter: FPGA-based Prototyping Methodology Manual (Best Practices in Design-for-Prototyping), Synopsys Press, 2011, ISBN: ( ) Table of Contents Chapter 1: Introduction: the challenge of system verification Chapter 2: What can FPGA-based prototyping do for us? Chapter 3: FPGA technology today: chips and tools Chapter 4: Getting started Chapter 5: Which platform? (1) build-your-own Chapter 6: Which platform? (2) ready-made Chapter 7: Getting the design ready for the prototype Chapter 8: Partitioning and reconnecting Chapter 9: Design-for-Prototyping Chapter 10: IP and high-speed interface Chapter 11: Bring up and debug: the prototype in the lab Chapter 12: Breaking out of the lab: the prototype in the field Chapter 13: Prototyping + Verification = The Best of Both Worlds Chapter 14: The future of prototyping

Seznam literatury dostupné v univerzitní knihovně (nejde o kompletní seznam, jen výběr titulů...):

Seznam literatury dostupné v univerzitní knihovně (nejde o kompletní seznam, jen výběr titulů...): Seznam literatury dostupné v univerzitní knihovně (nejde o kompletní seznam, jen výběr titulů...): [ Diagnostika a testování ] [ Desky plošných spojů ] [ FPGA + HDL + HSC design ][ Ostatní ] Diagnostika

Více

REKONFIGURACE FPGA. Božetěchova 1/2, 612 66 Brno. imatousek@fit.vutbr.cz

REKONFIGURACE FPGA. Božetěchova 1/2, 612 66 Brno. imatousek@fit.vutbr.cz OPTIMALIZACE VYHLEDÁNÍ NEJDELŠÍHO PREFIXU SÍŤOVÉ ADRESY S VYUŽITÍM ČÁSTEČNÉ DYNAMICKÉ REKONFIGURACE FPGA Jiří Matoušek Výpočetní technika a informatika, 1. ročník, prezenční studium Školitel: Zdeněk Kotásek

Více

Programovatelná logika

Programovatelná logika Programovatelná logika Přehled historie vývoje technologie programovatelných obvodů. Obvody PLD, GAL,CPLD, FPGA Příklady systémů a vývojových prostředí. Moderní elektrotechnický průmysl neustále stupňuje

Více

Jakub Š astný FPGA prakticky Realizace èíslicových systémù pro programovatelná hradlová pole Praha 2010 Tato publikace je vìnována praktickým aspektùm návrhu èíslicových systémù. Spíše, než popisu jazyka

Více

FPGA + mikroprocesorové jádro:

FPGA + mikroprocesorové jádro: Úvod: V tomto dokumentu je stručný popis programovatelných obvodů od firmy ALTERA www.altera.com, které umožňují realizovat číslicové systémy s procesorem v jenom programovatelném integrovaném obvodu (SOPC

Více

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE Soběslav Valach UAMT FEEC VUT Brno, Kolejní 2906/4, 612 00 Brno, valach@feec.vutbr.cz Abstract: Článek popisuje základní

Více

Metody návrhu systémů na bázi FPGA

Metody návrhu systémů na bázi FPGA Metody návrhu systémů na bázi FPGA Úvod Ve třetím dílu série článků o programovatelných logických obvodech bude nastíněna metodika návrhu systémů realizovaných právě pomocí FPGA. Současně budou zmíněny

Více

ARCHITEKTURA SYSTÉMU PRO DYNAMICKY REKONFIGUROVATELNÝ KOMUNIKAČNÍ TERMINÁL

ARCHITEKTURA SYSTÉMU PRO DYNAMICKY REKONFIGUROVATELNÝ KOMUNIKAČNÍ TERMINÁL ARCHITEKTURA SYSTÉMU PRO DYNAMICKY REKONFIGUROVATELNÝ KOMUNIKAČNÍ TERMINÁL Jan Kloub Informatika a výpočetní technika, 2 ročník, distanční Školitel: doc. Ing. Hana Kubátová, CSc. Školitel specialista:

Více

Zpracování obrazu v FPGA. Leoš Maršálek ATEsystem s.r.o.

Zpracování obrazu v FPGA. Leoš Maršálek ATEsystem s.r.o. Zpracování obrazu v FPGA Leoš Maršálek ATEsystem s.r.o. Základní pojmy PROCESOROVÉ ČIPY Křemíkový čip zpracovávající obecné instrukce Různé architektury, pracují s různými paměti Výkon instrukcí je závislý

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní pojmy digitální techniky Abstrakce v digitální technice: signály se pokládají za skokově proměnné, v nejjednodušším případě dvě možné hodnoty logická

Více

Open-Source nástroje pro práci s FPGA

Open-Source nástroje pro práci s FPGA 6. Listopad, 2016 Marek Vasut Software engineer at DENX S.E. since 2011 Embedded and Real-Time Systems Services, Linux kernel and driver development, U-Boot development, consulting, training Versatile

Více

Návrh. číslicových obvodů

Návrh. číslicových obvodů Návrh číslicových obvodů SW Aritmetika HW Periférie CPU function AddSub(a,b,s); var c; a b k k a+b mpx c if (s==1) c=a+b; else c=a-b; a-b return c; End; PAMĚŤ s Princip: univerzální stroj Výhoda: univerzalita

Více

FPGA intimně. Marek Vašut March 6, 2016

FPGA intimně. Marek Vašut March 6, 2016 March 6, 2016 Marek Vašut Custodian at U-Boot bootloader Linux kernel hacker oe-core contributor (Yocto/OE/Poky) FPGA enthusiast Obsah Úvod do FPGA Open-Source nastroje pro praci s FPGA Podrobnosti technologie

Více

Workshop. Vývoj embedded aplikací v systému MATLAB a Simulink. Jiří Sehnal sehnal@humusoft.cz. www.humusoft.cz info@humusoft.cz. www.mathworks.

Workshop. Vývoj embedded aplikací v systému MATLAB a Simulink. Jiří Sehnal sehnal@humusoft.cz. www.humusoft.cz info@humusoft.cz. www.mathworks. Workshop Vývoj embedded aplikací v systému MATLAB a Simulink Jiří Sehnal sehnal@humusoft.cz www.humusoft.cz info@humusoft.cz www.mathworks.com 1 Obsah workshopu Model Based Design model soustavy a regulátoru

Více

LOGICKÉ OBVODY X36LOB

LOGICKÉ OBVODY X36LOB LOGICKÉ OBVODY X36LOB Doc. Ing. Hana Kubátová, CSc. Katedra počítačů FEL ČVUT v Praze 26.9.2008 Logické obvody - 1 - Úvod 1 Obsah a cíle předmětu Číslicový návrh (digital design) Číslicové obvody logické

Více

Obsah 1 Struènì z historie LabVIEW...11 1.1 Vznik LabVIEW... 12 1.2 Vývoj LabVIEW... 13 2 Popis a princip vývojového prostøedí LabVIEW... 17 2.1 LabVIEW nástroj virtuální instrumentace... 18 2.2 Spuštìní

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,

Více

ZÁPADOČESKÁ UNIVERZITA V PLZNI FAKULTA ELEKTROTECHNICKÁ DIPLOMOVÁ PRÁCE

ZÁPADOČESKÁ UNIVERZITA V PLZNI FAKULTA ELEKTROTECHNICKÁ DIPLOMOVÁ PRÁCE ZÁPADOČESKÁ UNIVERZITA V PLZNI FAKULTA ELEKTROTECHNICKÁ Katedra aplikované elektroniky a telekomunikací DIPLOMOVÁ PRÁCE Vývojový modul s programovatelným logickým polem FPGA Vedoucí práce: Ing. Zuzana

Více

7. Popis konečného automatu

7. Popis konečného automatu Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Miloš

Více

Návrh parkovacího terminálu. Mgr. Leona Kapustová

Návrh parkovacího terminálu. Mgr. Leona Kapustová Návrh parkovacího terminálu Mgr. Leona Kapustová Diplomová práce 2013 ABSTRAKT Tato práce popisuje různé způsoby parkování dle požadavků na přítomnost osoby v podobě obsluhy. Další část popisuje stavy

Více

Analýza chování algoritmu MSAF při zpracování řeči v bojových prostředcích

Analýza chování algoritmu MSAF při zpracování řeči v bojových prostředcích Analýza chování algoritmu MSAF při zpracování řeči v bojových prostředcích Analysis of MSAF algorithm for speech enhancement in combat vehicles Ing. Jaroslav Hovorka MESIT přístroje spol. s r.o., Uherské

Více

GENERÁTOR HLÍDACÍCH OBVODŮ PRO KOMUNIKAČNÍ PROTOKOLY XILINX FPGA

GENERÁTOR HLÍDACÍCH OBVODŮ PRO KOMUNIKAČNÍ PROTOKOLY XILINX FPGA GENERÁTOR HLÍDACÍCH OBVODŮ PRO KOMUNIKAČNÍ PROTOKOLY XILINX FPGA Martin Straka Informační technologie, 1. ročník, prezenční studium Školitel: Doc. Ing. Zdeněk Kotásek, CSc. Fakulta informačních technologií,

Více

Programovatelné obvody a SoC. PI-PSC

Programovatelné obvody a SoC. PI-PSC Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Programovatelné obvody a SoC. PI-PSC doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii ČVUT v

Více

Výzkumné centrum spalovacích motorů a automobilů Josefa Božka - 5. kolokvium Josefa Božka 2009, Praha, 2.-3.12.2009

Výzkumné centrum spalovacích motorů a automobilů Josefa Božka - 5. kolokvium Josefa Božka 2009, Praha, 2.-3.12.2009 Dokončení reálné FlexRay sítě zjednodušený model vozidla Modelování činnosti kritických FlexRay mechanismů (start-up, synchronizace.) Nová generace pracoviště pro automatizované testování elektronických

Více

Moderní metodiky a technologie ve výuce softwarového inženýrství

Moderní metodiky a technologie ve výuce softwarového inženýrství Moderní metodiky a technologie ve výuce softwarového inženýrství Závěrečná zpráva projektu FR VŠ číslo 1332/2004 Řešitel: Ing. Přemysl Brada, Ph.D. Spoluřešitelé: doc. Ing. Pavel Herout, Ph.D., Ing. Lukáš

Více

Když procesor nestačí, FPGA zaskočí

Když procesor nestačí, FPGA zaskočí Když procesor nestačí, FPGA zaskočí Jan Fosfor Pospíšil 8. 12. 2015 Středisko UN*Xových technologií Úterní díl Bastlířských Střed Když procesor nestačí, FPGA zaskočí (8. 12. 2015) 2 Bastlířské středy (Ne)pravidelné

Více

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu Předmět Ústav Úloha č. 10 BDIO - Digitální obvody Ústav mikroelektroniky Komplexní příklad - návrh řídicí logiky pro jednoduchý nápojový automat, kombinační + sekvenční logika (stavové automaty) Student

Více

Organizace předmětu, podmínky pro získání klasifikovaného zápočtu

Organizace předmětu, podmínky pro získání klasifikovaného zápočtu ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Organizace předmětu, podmínky pro získání klasifikovaného zápočtu Kurz A0B38FPGA Aplikace

Více

Vzdálené řízení modelu připojeného k programovatelnému automatu

Vzdálené řízení modelu připojeného k programovatelnému automatu Vzdálené řízení modelu připojeného k programovatelnému automatu Remote control of the model connected to Programmable Logic Controller Martin Malinka Bakalářská práce 2009 UTB ve Zlíně, Fakulta aplikované

Více

SYSTÉMY NAČIPU MI-SOC

SYSTÉMY NAČIPU MI-SOC Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti SYSTÉMY NAČIPU MI-SOC doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii ČVUT v Praze Hana Kubátová

Více

VŠB-TU Ostrava, Katedra měřicí a řídicí techniky 17. Listopadu 15 70833 Ostrava-Poruba Telefon +420 597 329 337 Fax +420 597 323 138

VŠB-TU Ostrava, Katedra měřicí a řídicí techniky 17. Listopadu 15 70833 Ostrava-Poruba Telefon +420 597 329 337 Fax +420 597 323 138 Europass - životopis Osobní údaje Příjmení, Jméno Adresa VŠB-TU Ostrava, Katedra měřicí a řídicí techniky 17. Listopadu 15 70833 Ostrava-Poruba Telefon +420 597 329 337 Fax +420 597 323 138 E-mail Pracovní

Více

Anténní systém pro DVB-T

Anténní systém pro DVB-T Rok / Year: Svazek / Volume: Číslo / Issue: 2012 14 3 Anténní systém pro DVB-T Antenna system for DVB-T Vladimír Šporik 1, Kamil Pítra 1, byněk Lukeš 1, Vladislav Dlouhý 2 lukes@feec.vutbr.cz, xpitra01@stud.feec.vutbr.cz,

Více

Zákaznické obvody 1. ASIC 2. PLD 3. FPGA. Ondřej Novák O. Novák: CIE9 1

Zákaznické obvody 1. ASIC 2. PLD 3. FPGA. Ondřej Novák O. Novák: CIE9 1 Zákaznické obvody Ondřej Novák 1. ASIC 2. PLD 3. FPGA 30.1.2013 O. Novák: CIE9 1 Dělení IO podle způsobu funkce analogové (lineární) číslicové (logické) podle stupně integrace SSI, do 200 hradel, řada

Více

Témata pro zpracování školních zkušebních úloh

Témata pro zpracování školních zkušebních úloh Třída: E4A 21. Electrical circuit, effects of el. current 22. Basic electronics terms, semiconductors, diodes 23. Automation, robotics 24. Transmission of signals 25. Computers input and output devices

Více

Gymnázium a Střední odborná škola, Rokycany, Mládežníků 1115

Gymnázium a Střední odborná škola, Rokycany, Mládežníků 1115 Číslo projektu: Číslo šablony: Název materiálu: Gymnázium a Střední odborná škola, Rokycany, Mládežníků 1115 CZ.1.07/1.5.00/34.0410 II/2 Parts of a computer IT English Ročník: Identifikace materiálu: Jméno

Více

Zprovoznění kitu Xilinx Spartan-6 FPGA Industrial Video Processing Kit

Zprovoznění kitu Xilinx Spartan-6 FPGA Industrial Video Processing Kit Zprovoznění kitu Xilinx Spartan-6 FPGA Industrial Video Processing Kit Technická zpráva - FI - VG20102015006-2011 03 Ing. Filip Orság, Ph.D. Fakulta informačních technologií, Vysoké učení technické v Brně

Více

Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec. leos.kafka@utia.cas.

Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec. leos.kafka@utia.cas. Technická zpráva Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec leos.kafka@utia.cas.cz Obsah 1. Úvod... 2 2. Popis modulů... 2 2.1 LCD...

Více

Databáze!vědeckých!lékařských!informací!

Databáze!vědeckých!lékařských!informací! ÚSTAVINFORMAČNÍCHSTUDIÍAKNIHOVNICTVÍ FFUKVPRAZE RichardPapík Databázevědeckýchlékařskýchinformací Verze1.0 Praha 2011 Mít odvahu, hledat, najít a nevzdávat se A. Tennyson, anglický básník Ze složitého

Více

Introduction to Navision 4.00 Jaromír Skorkovský, MS., PhD.

Introduction to Navision 4.00 Jaromír Skorkovský, MS., PhD. Introduction to Navision 4.00 Jaromír Skorkovský, MS., PhD. ESF MU, Czech Republic 1 1 Distribution channels Microsoft Development, new versions, technology, languages.. Country HQ 1 legislation, sales

Více

Inovace bakalářského studijního oboru Aplikovaná chemie http://aplchem.upol.cz

Inovace bakalářského studijního oboru Aplikovaná chemie http://aplchem.upol.cz http://aplchem.upol.cz CZ.1.07/2.2.00/15.0247 Tento projekt je spolufinancován Evropským sociálním fondem a státním rozpočtem České republiky. Internet a zdroje Úvodní hodina Základní informace Název předmětu:

Více

Project Life-Cycle Data Management

Project Life-Cycle Data Management Project Life-Cycle Data Management 1 Contend UJV Introduction Problem definition Input condition Proposed solution Reference Conclusion 2 UJV introduction Research, design and engineering company 1000

Více

APLIKACE HLÍDACÍCH OBVODŮ V ARCHITEKTURÁCH ODOLNÝCH PROTI PORUCHÁM

APLIKACE HLÍDACÍCH OBVODŮ V ARCHITEKTURÁCH ODOLNÝCH PROTI PORUCHÁM APLIKACE HLÍDACÍCH OBVODŮ V ARCHITEKTURÁCH ODOLNÝCH PROTI PORUCHÁM Martin Straka Informační technologie, 2. ročník, prezenční studium Školitel: Doc. Ing. Zdeněk Kotásek, CSc. Fakulta informačních technologií,

Více

Hardwarová realizace konečných automatů

Hardwarová realizace konečných automatů BI-AAG - Automaty a gramatiky Katedra teoretické informatiky ČVUT FIT 11.1.21 Co potřebujeme Úvod Potřebujeme: zakódovat vstupní abecedu, zakódovat stavy automatu, pamatovat si současný stav, realizovat

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 8 SÍTĚ NAČIPU (NOC) doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii ČVUT v Praze Hana

Více

Výprodej - ZK Sklep - duben 2014 Angličtina - cvičebnice gramatiky (zahr. nakl.) 2 64,00 Kč 2 64,00 Kč 2 64,00 Kč 2 64,00 Kč 2 89,60 Kč 2 89,60 Kč

Výprodej - ZK Sklep - duben 2014 Angličtina - cvičebnice gramatiky (zahr. nakl.) 2 64,00 Kč 2 64,00 Kč 2 64,00 Kč 2 64,00 Kč 2 89,60 Kč 2 89,60 Kč Angličtina - cvičebnice gramatiky (zahraniční nakladatelství) Adresa: Zásilkové knihkupectví Sklep PaedDr Jiří Šádek Kavánova 1071 512 51 LOMNICE NAD POPELKOU Email: objednavky@zksklep.cz Mobil: 420602

Více

Telekomunikační sítě Úvod do telekomunikačních sítí

Telekomunikační sítě Úvod do telekomunikačních sítí Fakulta elektrotechniky a informatiky, VŠB-TU Ostrava Telekomunikační sítě Úvod do telekomunikačních sítí Datum: 8.2.2012 Autor: Ing. Petr Machník, Ph.D. Kontakt: petr.machnik@vsb.cz Předmět: Telekomunikační

Více

CASE. Jaroslav Žáček

CASE. Jaroslav Žáček CASE Jaroslav Žáček jaroslav.zacek@osu.cz http://www1.osu.cz/~zacek/ Co znamená CASE? Definice dle SEI A CASE tool is a computer-based product aimed at supporting one or more software engineering activities

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti TESTOVÁNÍ SOC Hana Kubátová MI-SOC 2011 11/2012 1 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Karel Koucký. Státní oblastní archiv v Praze Národní archiv AKM 2013, 27. 11. 2013 1

Karel Koucký. Státní oblastní archiv v Praze Národní archiv AKM 2013, 27. 11. 2013 1 Karel Koucký Státní oblastní archiv v Praze Národní archiv AKM 2013, 27. 11. 2013 1 APEx projekt pro zpřístupnění archivního dědictví Konference Building infrastructures for archives in a digital world

Více

Prototypování, testování prototypů

Prototypování, testování prototypů Prototypování, testování prototypů Lenka Němečková lenka.nemeckova@gmail.com Komunikace člověk-počítač 2 Prototypování Konkretizace designových návrhů Platforma pro evaluaci návrhů Platforma pro získání

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

Metodologie řízení projektů

Metodologie řízení projektů Metodologie řízení projektů Petr Smetana Vedoucí práce PhDr. Milan Novák, Ph.D. Školní rok: 2008-09 Abstrakt Metodologie řízení projektů se zabývá studiem způsobů řešení problémů a hledání odpovědí v rámci

Více

Zásady psaní odborného textu

Zásady psaní odborného textu Zásady psaní odborného textu Pro odborné texty existuje standardní struktura, od které by se žádný text neměl příliš odchylovat. Jednotlivé části (kapitoly) mohou být pojmenovány podle potřeby, u krátkých

Více

14,35 - Standardizace portálové platformy MEFANET: den zúčtování s projektem OP VK

14,35 - Standardizace portálové platformy MEFANET: den zúčtování s projektem OP VK Program semináře 14,30 - Úvodní slovo 14,35 - Standardizace portálové platformy MEFANET: den zúčtování s projektem OP VK 15,00 - Modernizace výuky klinického rozhodování: představení vybraných platforem

Více

SPECIFICKÝCH MIKROPROGRAMOVÝCH ARCHITEKTUR

SPECIFICKÝCH MIKROPROGRAMOVÝCH ARCHITEKTUR EVOLUČNÍ NÁVRH A OPTIMALIZACE APLIKAČNĚ SPECIFICKÝCH MIKROPROGRAMOVÝCH ARCHITEKTUR Miloš Minařík DVI4, 2. ročník, prezenční studium Školitel: Lukáš Sekanina Fakulta informačních technologií, Vysoké učení

Více

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody Integrované obvody Obvody malé, střední a velké integrace Programovatelné obvody Integrovaný obvod zkratka: IO anglický termín: integrated circuit = IC Co to je? elekrotechnická součástka na malé ploše

Více

ÚVOD DO OPERAČNÍCH SYSTÉMŮ. Vývoj SW aplikací. Unix, POSIX, WinAPI, programování komunikace s periferními zařízeními, ovladače zařízení

ÚVOD DO OPERAČNÍCH SYSTÉMŮ. Vývoj SW aplikací. Unix, POSIX, WinAPI, programování komunikace s periferními zařízeními, ovladače zařízení ÚVOD DO OPERAČNÍCH SYSTÉMŮ Ver.1.00 Vývoj SW aplikací Unix, POSIX, WinAPI, programování komunikace s periferními zařízeními, ovladače zařízení České vysoké učení technické Fakulta elektrotechnická 2012

Více

PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz

PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz Technická zpráva PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz Obsah 1. Úvod... 2 2. Xilinx PicoBlaze... 2 2.1 Architektura procesoru...

Více

Výkonnost specializovaných bezpečnostních kamer při předávání statického obrazu s využitím dotazovací metody GET

Výkonnost specializovaných bezpečnostních kamer při předávání statického obrazu s využitím dotazovací metody GET Rok / Year: Svazek / Volume: Číslo / Issue: 2012 14 4 Výkonnost specializovaných bezpečnostních kamer při předávání statického obrazu s využitím dotazovací metody GET Performance of specialized security

Více

Česká zemědělská univerzita v Praze. Provozně ekonomická fakulta. Katedra informačních technologií

Česká zemědělská univerzita v Praze. Provozně ekonomická fakulta. Katedra informačních technologií Česká zemědělská univerzita v Praze Provozně ekonomická fakulta Katedra informačních technologií Teze diplomové práce Analýza a návrh informačního systému Miloš Rajdl 2012 ČZU v Praze 1 Souhrn Diplomová

Více

AARC 2 a knihovny. Doporučení AARC pro knihovny a poskytovatele služeb knihovnám, postup implementace. Ing. Jiří Pavlík CESNET / Project AARC 2

AARC 2 a knihovny. Doporučení AARC pro knihovny a poskytovatele služeb knihovnám, postup implementace. Ing. Jiří Pavlík CESNET / Project AARC 2 Authentication and Authorisation for Research and Collaboration AARC 2 a knihovny Doporučení AARC pro knihovny a poskytovatele služeb knihovnám, postup implementace Ing. Jiří Pavlík CESNET / Project AARC

Více

POLOHOVÁNÍ ULTRAZVUKOVÉHO SENZORU

POLOHOVÁNÍ ULTRAZVUKOVÉHO SENZORU 1 VŠB - Technická Univerzita Ostrava, Katedra automatizační techniky a řízení Příspěvek popisuje zařízení realizující lineární posuv ultrazvukového snímače. Mechanismem realizujícím lineární posuv je kuličkový

Více

Náplň přednášky 1. Vestavěný systém Výrobci technických řešení Mikrokontroléry ARM NXP Kinetis KL25Z Rapid prototyping Laboratorní vývojová platforma

Náplň přednášky 1. Vestavěný systém Výrobci technických řešení Mikrokontroléry ARM NXP Kinetis KL25Z Rapid prototyping Laboratorní vývojová platforma 4 Přednáška 1 Náplň přednášky 1 Vestavěný systém Výrobci technických řešení Mikrokontroléry ARM NXP Kinetis KL25Z Rapid prototyping Laboratorní vývojová platforma 5 www.vsb.cz Vestavěný řídicí systém Anglicky:

Více

Testování sekvenčních obvodů Scan návrh

Testování sekvenčních obvodů Scan návrh Testování sekvenčních obvodů Scan návrh Testování a spolehlivost ZS 2011/2012, 6. přednáška Ing. Petr Fišer, Ph.D. Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Evropský sociální

Více

Systémy pro podporu managementu 1

Systémy pro podporu managementu 1 Systémy pro podporu managementu 1 Přednášky pro im2, im3, im5, ai2, ai3 Ing. Karel Mls, Ph.D. 1 2 Základní literatura EFRAIM TURBAN, JAY E. ARONSON: DECISION SUPPORT SYSTEMS AND INTELLIGENT SYSTEMS. PRENTICE

Více

CASE nástroje. Jaroslav Žáček

CASE nástroje. Jaroslav Žáček CASE nástroje Jaroslav Žáček jaroslav.zacek@osu.cz http://www1.osu.cz/~zacek/ Co znamená CASE? A CASE tool is a computer-based product aimed at supporting one or more software engineering activities within

Více

Přednáší: doc. Ing. Jan Skrbek, Dr. - KIN. Konzultace: pondělí 10 00 11 00 nebo dle dohody Spojení: e-mail: jan.skrbek@tul.cz tel.

Přednáší: doc. Ing. Jan Skrbek, Dr. - KIN. Konzultace: pondělí 10 00 11 00 nebo dle dohody Spojení: e-mail: jan.skrbek@tul.cz tel. 1 Informační systémy Přednáší: doc. Ing. Jan Skrbek, Dr. - KIN Konzultace: pondělí 10 00 11 00 nebo dle dohody Spojení: e-mail: jan.skrbek@tul.cz tel.: 485 352 442 Přednášky: úterý 12 30 H35 Cvičení: Mgr.

Více

TECHNICKÁ NORMALIZACE V OBLASTI PROSTOROVÝCH INFORMACÍ

TECHNICKÁ NORMALIZACE V OBLASTI PROSTOROVÝCH INFORMACÍ TECHNICKÁ NORMALIZACE V OBLASTI PROSTOROVÝCH INFORMACÍ Ing. Jiří Kratochvíl ředitel Odboru technické normalizace Úřad pro technickou normalizaci, metrologii a státní zkušebnictví kratochvil@unmz.cz http://cs-cz.facebook.com/normy.unmz

Více

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody Integrované obvody Obvody malé, střední a velké integrace Programovatelné obvody Integrovaný obvod zkratka: IO anglický termín: integrated circuit = IC Co to je? elekrotechnická součástka na malé ploše

Více

1. Úvod Jednou z! "# $ posledn % & $$' ( )(( (*+ % ( (* $ $%, (* ( (* obvodech pro elektronickou regulaci.*' (( $ /

1. Úvod Jednou z! # $ posledn % & $$' ( )(( (*+ % ( (* $ $%, (* ( (* obvodech pro elektronickou regulaci.*' (( $ / Praze 1. Úvod Jednou z! "# $ posledn % & $$' ( )(( (*+ % ( (* $ $%, (* ( (* obvodech pro elektronickou regulaci ' (% tramvajích a trolejbusech s tyristorovou výstrojí nebo v pohonech '$ (-- %.*' (( $ /

Více

Testování jednotky ALU a aplikace metody FMEA

Testování jednotky ALU a aplikace metody FMEA Testování jednotky ALU a aplikace metody FMEA Bc. Jiří Sobotka, Vysoké Učení technické v Brně, Fakulta elektrotechniky a komunikačních technologií, Ústav telekomunikací, Purkyňova 118, 612 00 Brno, Česká

Více

Zaměření Webové inženýrství doc. Ing. Tomáš Vitvar, Ph.D. Katedra softwarového inženýrství Fakulta informačních technologií České vysovké učení technické v Praze Den otevřených dveří 20.2.2014 http://www.fit.cvut.cz

Více

Genetické programování 3. část

Genetické programování 3. část 1 Portál pre odborné publikovanie ISSN 1338-0087 Genetické programování 3. část Macháček Martin Elektrotechnika 08.04.2011 Jako ukázku použití GP uvedu symbolickou regresi. Regrese je statistická metoda

Více

HODNOCENÍ INOVAČNÍCH VÝSTUPŮ NA REGIONÁLNÍ ÚROVNI

HODNOCENÍ INOVAČNÍCH VÝSTUPŮ NA REGIONÁLNÍ ÚROVNI HODNOCENÍ INOVAČNÍCH VÝSTUPŮ NA REGIONÁLNÍ ÚROVNI Vladimír ŽÍTEK Katedra regionální ekonomie a správy, Ekonomicko-správní fakulta, Masarykova Univerzita, Lipová 41a, 602 00 Brno zitek@econ.muni.cz Abstrakt

Více

Efektivní využití SSD v produktech Dell: SSD za cenu HDD. Ondřej Bajer Storage Systems Engineer

Efektivní využití SSD v produktech Dell: SSD za cenu HDD. Ondřej Bajer Storage Systems Engineer Efektivní využití SSD v produktech Dell: SSD za cenu HDD Ondřej Bajer Storage Systems Engineer Agenda Pevné disky a fyzika Následky virtualizace Operace čtení vs. zápis SSD akcelerace Compellent All Flash

Více

METODIKA NÁVRHU SYSTÉMŮ ODOLNÝCH PROTI PORUCHÁM DO OMEZENÉHO IMPLEMENTAČNÍHO PROSTORU NA BÁZI FPGA

METODIKA NÁVRHU SYSTÉMŮ ODOLNÝCH PROTI PORUCHÁM DO OMEZENÉHO IMPLEMENTAČNÍHO PROSTORU NA BÁZI FPGA METODIKA NÁVRHU SYSTÉMŮ ODOLNÝCH PROTI PORUCHÁM DO OMEZENÉHO IMPLEMENTAČNÍHO PROSTORU NA BÁZI FPGA Lukáš Mičulka Výpočetní technika a informatika, 2. ročník, prezenční studium Vedoucí: doc. Ing. Zdeněk

Více

NSWI /2011 ZS. Principy cpypočítačůčů aoperačních systémů ARCHITEKTURA

NSWI /2011 ZS. Principy cpypočítačůčů aoperačních systémů ARCHITEKTURA Principy cpypočítačůčů aoperačních systémů ARCHITEKTURA Literatura W.Stallings: Computer Organization & Architecture J.L.Hennessy, P.A.Patterson: Patterson: Computer Architecture: a Quantitative Approach

Více

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická LOGICKÉ SYSTÉMY PRO ŘÍZENÍ Doc. Ing. Jiří Bayer, CSc Dr.Ing. Zdeněk Hanzálek Ing. Richard Šusta 2000 Vydavatelství ČVUT Předmluva Skriptum

Více

Implementace čítačů v číslicových systémech 2 Jakub Šťastný ASICentrum, s.r.o. FPGA Laboratoř, Katedra teorie obvodů FEL ČVUT Praha

Implementace čítačů v číslicových systémech 2 Jakub Šťastný ASICentrum, s.r.o. FPGA Laboratoř, Katedra teorie obvodů FEL ČVUT Praha Tento článek je původním rukopisem textu publikovaného v časopise DPS Elektronika A-Z: J. Šťastný. Implementace čítačů v číslicových systémech 2, DPS Plošné spoje od A do Z, no 4, pp. 11-14, 2011. Bez

Více

ANALYTICKÉ PROGRAMOVÁNÍ

ANALYTICKÉ PROGRAMOVÁNÍ ZVYŠOVÁNÍODBORNÝCH KOMPETENCÍAKADEMICKÝCH PRACOVNÍKŮ OSTRAVSKÉUNIVERZITY V OSTRAVĚ A SLEZSKÉ UNIVERZITY V OPAVĚ ANALYTICKÉ PROGRAMOVÁNÍ Eva Volná Zuzana Komínková Oplatková Roman Šenkeřík OBSAH PRESENTACE

Více

VYHLEDÁNÍ NEJDELŠÍHO SHODNÉHO PREFIXU V FPGA

VYHLEDÁNÍ NEJDELŠÍHO SHODNÉHO PREFIXU V FPGA VYHLEDÁNÍ NEJDELŠÍHO SHODNÉHO PREFIXU V FPGA Jiří Tobola Výpočetní technika a informatika, 2. ročník, prezenční studium Školitel: Vladimír Drábek Fakulta informačních technologií, Vysoké učení technické

Více

Von Neumannovo schema počítače

Von Neumannovo schema počítače Název školy: Střední odborná škola stavební Karlovy Vary Sabinovo náměstí 16, 360 09 Karlovy Vary Autor: Ing. Hana Šmídová Název materiálu: VY_32_INOVACE_10_NEUMANN_S1 Číslo projektu: CZ 1.07/1.5.00/34.1077

Více

Průvodce rychlým nastavením

Průvodce rychlým nastavením Průvodce rychlým nastavením Cisco Small Business Switche Série 200 Obsah balení Switch série 200 Kit pro uchycení do racku (rackové modely) Napájecí adaptér / Napájecí kabel Ethernetový kabel Průvodce

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 2 METODY VERIFIKACE SYSTÉMŮ NA ČIPU II doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Struktura a architektura počítačů (BI-SAP) 4

Struktura a architektura počítačů (BI-SAP) 4 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

VŠB Technická Univerzita Ostrava Fakulta Elektrotechniky a Informatiky Katedra Informatiky. Srovnání vývojových prostředí pro návrh pomocí VHDL

VŠB Technická Univerzita Ostrava Fakulta Elektrotechniky a Informatiky Katedra Informatiky. Srovnání vývojových prostředí pro návrh pomocí VHDL VŠB Technická Univerzita Ostrava Fakulta Elektrotechniky a Informatiky Katedra Informatiky Srovnání vývojových prostředí pro návrh pomocí VHDL Květen 2008 Kratochvíl Karel Poděkování Děkuji Mgr. Pavlu

Více

Procesy a vlákna (Processes and Threads)

Procesy a vlákna (Processes and Threads) ÚVOD DO OPERAČNÍCH SYSTÉMŮ Ver.1.00 Procesy a vlákna (Processes and Threads) Správa procesů a vláken České vysoké učení technické Fakulta elektrotechnická 2012 Použitá literatura [1] Stallings, W.: Operating

Více

Vývoj informačních systémů. Architektura, návrh Vzory: Doménová logika

Vývoj informačních systémů. Architektura, návrh Vzory: Doménová logika Vývoj informačních systémů Architektura, návrh Vzory: Doménová logika Zachman Framework Zdroje Erich Gamma, Richard Helm, Ralph Johnson, John Vlissides. Design Patterns: Elements of Reusable Object-Oriented

Více

Normy a standardy ISMS, legislativa v ČR

Normy a standardy ISMS, legislativa v ČR Normy a standardy ISMS, legislativa v ČR RNDr. Igor Čermák, CSc. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Igor Čermák, 2011 Informační bezpečnost,

Více

Česká zemědělská univerzita v Praze

Česká zemědělská univerzita v Praze Česká zemědělská univerzita v Praze Provozně ekonomická fakulta Katedra informačních technologií Teze diplomové práce Operační systém Google Android Petr Koula 2011 ČZU v Praze Souhrn Diplomová práce zahrnuje

Více

WOJCIASZYK, Petr Ing., Katedra ATŘ-352, VŠB-TU Ostrava, 17. listopadu, Ostrava Poruba, ,

WOJCIASZYK, Petr Ing., Katedra ATŘ-352, VŠB-TU Ostrava, 17. listopadu, Ostrava Poruba, , XXXII. Seminar ASR '2007 Instruments and Control, Farana, Smutný, Kočí & Babiuch (eds) 2007, VŠB-TUO, Ostrava, ISBN 978-80-248-1272-4 Smart Instrumentation with ZigBee Wireless Modules Inteligentní instrumentace

Více

ITICA. SAP Školení přehled 2012. Seznam kurzů

ITICA. SAP Školení přehled 2012. Seznam kurzů ITICA SAP Školení přehled 2012 Seznam kurzů SAP Školení v roce 2012 Způsob realizace školení Naše školení jsou zaměřena především na cíl předvést obrovský a rozsáhlý systém SAP jako použitelný a srozumitelný

Více

Jste připraveni na Průmysl 4.0?

Jste připraveni na Průmysl 4.0? Draft Jste připraveni na Průmysl 4.0? Okolo roku 2000 se v IT začínal prosazovat nový pojem e-business Podaří-li se plně a v celé šíři firmy integrovat procesy a navázat je na klíčové obchodní partnery,

Více

POKROČILÉ METODY V SOCIÁLNÍCH VĚDÁCH SYLABUS PRO AKADEMICKÝ ROK LETNÍ SEMESTR. Vyučující: Mgr. et Mgr. Jakub Lysek

POKROČILÉ METODY V SOCIÁLNÍCH VĚDÁCH SYLABUS PRO AKADEMICKÝ ROK LETNÍ SEMESTR. Vyučující: Mgr. et Mgr. Jakub Lysek POKROČILÉ METODY V SOCIÁLNÍCH VĚDÁCH SYLABUS PRO AKADEMICKÝ ROK 2015 2016 LETNÍ SEMESTR Vyučující: Mgr. et Mgr. Jakub Lysek jakub.lysek01@upol.cz I. CÍL KURZU Cílem kurzu je prohloubení znalosti kvantitativních

Více

Elektronické informační zdroje pro chemické obory. Seminář Agronomická fakulta, MENDELU Ústav chemie a biochemie

Elektronické informační zdroje pro chemické obory. Seminář Agronomická fakulta, MENDELU Ústav chemie a biochemie Elektronické informační zdroje pro chemické obory Seminář 21.9.2018 Agronomická fakulta, MENDELU Ústav chemie a biochemie 2 Elektronické informační zdroje http://uvis.mendelu.cz/elektronicke-informacnizdroje

Více

Digitální podnik na cestě k Průmyslu 4.0

Digitální podnik na cestě k Průmyslu 4.0 Draft Digitální podnik na cestě k Průmyslu 4.0 Unrestricted Siemens AG 2016 Okolo roku 2000 se začínal prosazovat nový pojem e-business Podaří-li se plně a v celé šíři firmy integrovat procesy a navázat

Více

Softwarové rádio. Zpracoval: Ing. Jiří Sehnal

Softwarové rádio. Zpracoval: Ing. Jiří Sehnal Softwarové rádio Zpracoval: Ing. Jiří Sehnal 1 Softwarové rádio je perspektivní koncepcí rádiových vysílačů a přijímačů s předpokladem potlačení analogových prvků na minimum. Jediným analogovým prvkem

Více

VY_32_INOVACE_5 Anglický jazyk Vypracovala: Mgr. Marcela Minaříková Test 5-3 Opakování Theme 2 Birthday Vznik: listopad 2013 Číslo projektu:

VY_32_INOVACE_5 Anglický jazyk Vypracovala: Mgr. Marcela Minaříková Test 5-3 Opakování Theme 2 Birthday Vznik: listopad 2013 Číslo projektu: VY_32_INOVACE_5 Anglický jazyk Vypracovala: Mgr. Marcela Minaříková Téma: 5. 46 Test 5-3 Opakování Theme 2 Birthday Vznik: listopad 2013 Číslo projektu: CZ.1.07/1.4.00/21.2787 ANOTACE: test pro ověření

Více

STUDIUM HLADINOVÉHO ELEKTROSTATICKÉHO

STUDIUM HLADINOVÉHO ELEKTROSTATICKÉHO STUDIUM HLADINOVÉHO ELEKTROSTATICKÉHO ZVLÁKŇOVÁNÍ J. Kula, M. Tunák, D. Lukáš, A. Linka Technická Univerzita v Liberci Abstrakt V posledních letech se uplatňuje výroba netkaných, nanovlákenných vrstev,

Více