Logické obvody Kombinační a sekvenční stavební bloky

Rozměr: px
Začít zobrazení ze stránky:

Download "Logické obvody Kombinační a sekvenční stavební bloky"

Transkript

1 MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Část důležtá něco jen pro zájemce (Označeno???) Logcké obvody Kombnační a sekvenční stavební bloky České vysoké učení techncké Fakulta elektrotechncká AB4MIS Mkroprocesory pro výkonové systémy 4 Ver..3 J. Zděnek, 7

2 Časování výpočet maxmální hodnové frekvence (Důležté) Ovlvněno: Technologí Typy hradel Počtem vstupů u hradel Zatížením výstupů hradel (větvením) Typem klopných obvodů Délkou propojovacích vodčů (na plošném spoj, ) Vzájemnou polohou vodčů (kvalta návrhu plošného spoje) Rozmístěním součástek Počtem zemnících a napájecích vrstev Způsobem rozvodu napájení Rozmístěním blokovacích kondezátorů Dalším vlvy.. A7B4SAP Struktura a archtektura počítačů 4 Logcké bloky

3 Časování klopného obvodu (Důležté) Zde na hodnotě nezáleží Předsth (Setup Tme) Vstup D musí být stablní (ustálený) před aktvní (zde náběžnou) hranou hodnového sgnálu Přesah (Hold Tme) Vstup D musí zůstat stablní (ustálený) po aktvní (zde náběžné) hraně hodnového sgnálu Zpoždění (Clock-to-Q Tme) výstupu Q po aktvní (zde náběžné) hraně hodnového sgnálu AB4MIS Mkroprocesory pro výkonové systémy 4 3

4 Maxmální hodnová frekvence (Důležté) Všechny klopné obvody jsou řízeny stejným hodnovým sgnálem Kombnační logcké bloky: Vstupy jsou aktualzovány př každém taktu hodn Všechny výstupy musí být stablní před dalším taktem AB4MIS Mkroprocesory pro výkonové systémy 4 4

5 Krtcká cesta a peroda hodn (Důležté) Zpoždění hradla Krtcká cesta Peroda hodn Krtcká cesta: nejpomalejší cesta mez lbovolným z regstrů (klop.obvodů) Mnmální peroda hodn je funkcí krtcké cesty Peroda T cmn musí být větší než: T c Clock to Q + Nejpomalejs cesta kombnacn cast + mn Setup Musí být splněny požadavky na stabltu vstupů a výstupů AB4MIS Mkroprocesory pro výkonové systémy 4 5

6 Krtcká cesta (Kc) (Důležté) Kc3 I x,,x Kc Kc O y,,y j Kc4 S,, k Clk AB4MIS Mkroprocesory pro výkonové systémy 4 6

7 Krtcká cesta (Kc) (Důležté) Kc4 Kc Kc Kc3 AB4MIS Mkroprocesory pro výkonové systémy 4 7

8 Hodnová frekvence = /Kc max (Důležté) Kc ze vstupů I na vstup stavového regstru Nestablta vstupů + zpoždění v LKO + Setup (předsth) Kc z výstupu stavového regstru na výstup O Clock-to-Q + zpoždění v LKO + požadavek na stabltu výstupů Kc3 ze vstupů I na výstupy O Nestablta vstupů + zpoždění v LKO + požadavek na stabltu výstupů Kc4 z výstupu stavového regstru na jeho vstupy Clock-to-Q + zpoždění v LKO + Setup (předsth) AB4MIS Mkroprocesory pro výkonové systémy 4 8

9 Detektor posloupnost btů (FSA typu Mealy) (Důležté) Kc Kc 8 8 Kc Kc3 Kc = [ns] Kc = [ns] Kc3 = [ns] Kc4 = [ns] f max = / Tc = / 34ns = 9, 4 MHz mn TClock to Q = 4ns Setup= 4ns AB4MIS Mkroprocesory pro výkonové systémy 4 9

10 Přehled kombnačních bloků dle kategore Majorty Decoder Multplexer 4 to Half Adder Prorty Encoder bt Comparator Full Adder Demultplexer 4bt Comparator Half Subtractor Bnary to Gray Dec. bt Shfter L/R Full Subtractor Bn. to Johanson Dec. 4bt Shfter L/R, L/A 4bt Full Adder Multplexer to 4bt Barrel Shfter 4bt Adder/Subtractor AB4MIS Mkroprocesory pro výkonové systémy 4

11 Přehled kombnačních bloků (???) x x x e Prorty Encoder y y x x en y y y y 3 x x y a 3... a b 3... b y 3... y s s AB4MIS Mkroprocesory pro výkonové systémy 4

12 Přehled kombnačních bloků (???) AB4MIS Mkroprocesory pro výkonové systémy 4

13 Přehled kombnačních bloků (???) AB4MIS Mkroprocesory pro výkonové systémy 4 3

14 AB4MIS Mkroprocesory pro výkonové systémy 4 4 Majortní dekodér (???) Majorta Nabývá hodnoty, když většna vstupních proměnných je rovna Majorta ze 3 tj. nebo 3 vstupní proměnné mají hodnotu D f x x x D y x x x 6, 5 x x x x x x x x x x x x y = = 7), m (3, Majorta ze 3

15 AB4MIS Mkroprocesory pro výkonové systémy 4 5 Majortní dekodér (???) D f x x x D y x x x x x x y x x x x x x y + + = Majorta ze 3

16 Majortní dekodér (???) y = x + x + x x x x Realzace x x y x AB4MIS Mkroprocesory pro výkonové systémy 4 6

17 Prortní enkodér (???) Kóduje stav n vstupů do určeného kódu (např. bnárního) na výstupu Lbovolný počet vstupů x prortního enkodéru může současně nabývat hodnoty. Prortní enkodér na výstupech y k vyšle vždy pouze kód aktvního vstupu (tj. x = ) s nejvyšší prortou (zde nejvyšší prortu má x ) Použtí systém přerušení v počítačí (nterrupt system), Prortní enkodér x x x y y D x x x y y D o 3 AB4MIS Mkroprocesory pro výkonové systémy 4 7

18 AB4MIS Mkroprocesory pro výkonové systémy 4 8 Prortní enkodér (???) 5 4,, 3 x x x x x x x x x x x x x x x y = = 7), m (, 6, 4 x x x x x x x x x y + + = = ) m (, y y x x x y y D 3 D o x x x Prortní enkodér

19 Prortní enkodér (???) Prortní enkodér x x x y y y y x x D x x x y y D o 3 x x x = x x x x x x x y + y = + AB4MIS Mkroprocesory pro výkonové systémy 4 9

20 Prortní enkodér (???) x x x y y Realzace y = + x x x y = + x x x x x y x y x AB4MIS Mkroprocesory pro výkonové systémy 4

21 Prortní enkodér (???) Blokování výstupu e = x x x e Prorty Encoder y y Realzace Kroužek značí, že aktvní stav je pro e = x y y x x e AB4MIS Mkroprocesory pro výkonové systémy 4

22 Dekodér/Demultplexer (Důležté) Dekóduje kód na vstupu (např. bnární) na kód z n na výstupu Typcky je výstupní kód aktvní v Použtí dekodér adresových bloků v počítač, x x en Decoder Demultplexer y y y y 3 Dekodér/Demultplexer D 3 x x Y 3 y y y AB4MIS Mkroprocesory pro výkonové systémy 4

23 Dekodér/Demultplexer (Důležté) x x en Decoder Demultplexer y y y y 3 Dekodér/Demultplexer D 3 x x Y 3 y y y y = x x y = x x y = x x y = 3 x x AB4MIS Mkroprocesory pro výkonové systémy 4 3

24 Dekodér/Demultplexer (Důležté) Realzace x x en Decoder Demultplexer y y y y 3 y = x x y = x x x x y y y = x x y = 3 x x y en y 3 AB4MIS Mkroprocesory pro výkonové systémy 4 4

25 Multplexer (Důležté) n vstupový multplexer je číslcový přepínač n vstupů na jeden výstup Multplexer na x x s Multplexer y D s x x y x x y y 3 x s s AB4MIS Mkroprocesory pro výkonové systémy 4 5

26 Multplexer (Důležté) y s x y = s x + s x Realzace x x y s AB4MIS Mkroprocesory pro výkonové systémy 4 6

27 Multplexer (Důležté) Multplexer 4 na ze tří multplexerů na x Multplexer 4 na D s s 3 y x x x x 3 x x x 3 y s s AB4MIS Mkroprocesory pro výkonové systémy 4 7

28 Multplexer (Důležté) Multplexer 4 x na z multplexerů na a b y a...a 3 y... y 3 b...b 3 s a b a b y y a 3 b 3 y 3 s AB4MIS Mkroprocesory pro výkonové systémy 4 8

29 Komparátor (bt Comparator) (???) gt out [( x = y) AND( gt ) ] = n ( x > y) OR = Komparátor e lt out out = n n ( x = y) AND ( gt = ) AND ( lt = ) [( x = y) AND( lt ) ] = n ( x < y) OR = D x y gt n lt n gt out e out lt out Nemůže nastat, doplníme pro co nejlepší mnmalzac AB4MIS Mkroprocesory pro výkonové systémy 4 9

30 Komparátor (bt Comparator) (???) gt out gt n lt n e out gt n lt n? 3? 3? ? 3 5 4? ? x y? 8 9 x y? 8 9 gt. out = x. y + x. gtn + y gtn e out = x. y. gtn. ltn + x. y. gtn. ltn Greater Than Eual AB4MIS Mkroprocesory pro výkonové systémy 4 3

31 Komparátor (bt Comparator) (???) lt out? 3 gt n lt n? ? x y? 8 9 lt = x. y + x. lt + y. lt out n n Less Than AB4MIS Mkroprocesory pro výkonové systémy 4 3

32 Komparátor (bt Comparator) (???) Realzace x y gt n gt out lt n e out lt out AB4MIS Mkroprocesory pro výkonové systémy 4 3

33 Komparátor (4bt Comparator) (???) AB4MIS Mkroprocesory pro výkonové systémy 4 33

34 AB4MIS Mkroprocesory pro výkonové systémy 4 34 Převodník kódu (Code Converter) Bnary to Gray (???) Bnární kód na Grayův kód (sousední kombnace se lší pouze v jednom btu) y y 3 y x y D x x x Bnární na Grayův kód

35 Převodník kódu (Code Converter) Bnary to Gray (???) y y x 3 x 3 x x x 3 x x 3 x y = x x + x x = x x y = x x + x x = x x AB4MIS Mkroprocesory pro výkonové systémy 4 35

36 Převodník kódu (Code Converter) Bnary to Gray (???) y x y 3 x x x 3 x x 3 x y = x3 x + x3 x = x3 x y 3 = x3 AB4MIS Mkroprocesory pro výkonové systémy 4 36

37 Převodník kódu (Code Converter) Bnary to Gray (???) Realzace x y x y x y x 3 y 3 AB4MIS Mkroprocesory pro výkonové systémy 4 37

38 AB4MIS Mkroprocesory pro výkonové systémy 4 38 Převodník kódu (Code Converter) Bnary to Johanson (???) Bnární kód na Johansonův kód (sousední kombnace se lší pouze v jednom btu) s s 3 s 7 s D x x x Bnární na Johansonův kód

39 Převodník kódu (Code Converter) Bnary to Johanson (???) s x x s x x s + = x x + x x x x x s x x s = x x + x x = x x s 3 x x s + = x x + x x x x x s 3 = x AB4MIS Mkroprocesory pro výkonové systémy 4 39

40 Převodník kódu (Code Converter) Bnary to Johanson (???) Realzace x s x s x s s 3 AB4MIS Mkroprocesory pro výkonové systémy 4 4

41 Půlsčítačka (Half Adder) (???) s = a + b Realzace D 3 a b s s = m (, ) = ab+ ab= a b = m ( 3) = ab AB4MIS Mkroprocesory pro výkonové systémy 4 4

42 AB4MIS Mkroprocesory pro výkonové systémy 4 4 Sčítačka (Full Adder) (???) D a s p b p a b p a b p a b p a b s = = ),, m (, p a b p a b p a b p a b = = ),, m (3, p b a s + + =

43 Úprava logckého výrazu Sčítačka (Full Adder) (???) XOR XNOR s= a. b. p + a. b. p + a. b. p + a. b. p = p ( a b + a b) + p ( a b + a b) = = p ( a b + a b) + p ( a b + a b) = p ( a b) Half Adder s XNOR= XOR Vhodná mnmalzace z K-mapy = a. b. p + a. b. p + a. b. p + a. b. p = ab+ p ab+ p ab = ab+ p( ab+ ab ) = = ab+ p( a b) a b p Half Adder AB4MIS Mkroprocesory pro výkonové systémy 4 43

44 Sčítačka (Full Adder) (???) Realzace AB4MIS Mkroprocesory pro výkonové systémy 4 44

45 Sčítačka (Full Adder) (???) Realzace AB4MIS Mkroprocesory pro výkonové systémy 4 45

46 Sčítačka (4bt Adder) (???) AB4MIS Mkroprocesory pro výkonové systémy 4 46

47 AB4MIS Mkroprocesory pro výkonové systémy 4 47 Půlodčítačka (Half Subtractor) (???) 3 D c d b a Realzace b a ab ab d = + = = ) (, m b a d = b c =a = () m

48 AB4MIS Mkroprocesory pro výkonové systémy 4 48 Odčítačka (Full Subtractor) (???) D c + a d c b c b a d = c b a c b a c b a c b a d = = ),, m (, c b a c b a c b a c b a c = = + ),, m (,

49 AB4MIS Mkroprocesory pro výkonové systémy 4 49 ) ( ) ( b a c b a b a b a c b a b a c b a c b a c + = = + + = + + = + ) ( ) ( ) ( ) ( ) ( b a c b a b a c b a b a c b a b a c b a b a c c b a c b a c b a c b a d = = = = = Odčítačka (Full Subtractor) (???) XNOR= XOR XNOR XOR Úprava logckého výrazu Vhodná mnmalzace z K-mapy Half Subtractor d Half Subtractor c c + c a b XNOR c b a c b a c b a c b a c = +

50 Odčítačka (Full Subtractor) (???) Realzace Borrow Borrow AB4MIS Mkroprocesory pro výkonové systémy 4 5

51 Odčítačka (Full Subtractor) (???) Realzace AB4MIS Mkroprocesory pro výkonové systémy 4 5

52 Sčítačka/odčítačka (4bt Adder/Subtractor) (???) Pro čísla kódovaná v dvojkovém doplňku (Two s Complement) platí: d TC = a b= a + b + sub = odčítání odčítání borrow = c 4 carry = sčítání c 4 Sčítání odčítání realzováno pouze sčítačkou AB4MIS Mkroprocesory pro výkonové systémy 4 5

53 Shfter (bt Left/Rght) (Důležté) AB4MIS Mkroprocesory pro výkonové systémy 4 53

54 AB4MIS Mkroprocesory pro výkonové systémy 4 54 Shfter (bt Left/Rght) (Důležté) r out l out y sr D l n r n x Posun bnárního řádu (o bt) sr = Shft Rght

55 Shfter (bt Left/Rght) (Důležté) sr l x y x out l n?? ? 3 5 4????? 8 9 r n sr l n r n l out sr + sr. x = y = sr. ln + sr. rn AB4MIS Mkroprocesory pro výkonové systémy 4 55

56 Shfter (bt Left/Rght) (Důležté) r out???? 3 x r n???? sr l n r out = sr + sr. x AB4MIS Mkroprocesory pro výkonové systémy 4 56

57 Shfter (bt Left/Rght) (Důležté) Realzace x sr l out l n r n y r out AB4MIS Mkroprocesory pro výkonové systémy 4 57

58 4bt Shfter (bt Left/Rght, Logcal/Arthmetc) (Důležté) sar = Shft Arthmetc sr = Shft Rght AB4MIS Mkroprocesory pro výkonové systémy 4 58

59 Barrel Shfter (4bt, Shft Left/Rotate Left) (Důležté) Posun vlevo nebo rotace vlevo o s btů (kombnační obvod) Zde ukázka posunu vlevo, podobně vpravo (pozor vpravo se lší logcký a artmetcký posun) rol = Rotate Left rol = Shft Left Posun o s btů s =,,,3,4 AB4MIS Mkroprocesory pro výkonové systémy 4 59

60 Barrel Shfter (4bt, Shft Left/Rotate Left) (Důležté) rol = rotate left AB4MIS Mkroprocesory pro výkonové systémy 4 6

61 Typy výstupů logckých členů (Důležté) Standardní výstup Totem-pole output (Push-Pull) Dvoustavový výstup Na výstupu vždy hodnota nebo Výstupy nelze navzájem spojovat Otevřený kolektor Open-collector output (OC) Na výstupu pouze spodní spínač Výstupy lze spojt, nutný upínací odpor na V cc Montážní součn Wred-AND Třístavový výstup Tr-state output (TS) Na výstupu hodnoty,, Z (Z = vysoká mpedance-odpojeno) Výstupy lze spojovat Řízení výstupních členů musí zajstt, že pouze jeden vysílač není v Z AB4MIS Mkroprocesory pro výkonové systémy 4 6

62 Dvoustavový výstup (Totem-pole output) (Důležté) Spínače jsou nad sebou AB4MIS Mkroprocesory pro výkonové systémy 4 6

63 Otevřený kolektor (Open-collector output, OC) (Důležté) Open-collector output a Gate Logc y v cc R b Gate Logc z Wred and f = y. z Common bus AB4MIS Mkroprocesory pro výkonové systémy 4 63

64 Třístavový výstup (Tr-state output, TS) (Důležté) AB4MIS Mkroprocesory pro výkonové systémy 4 64

65 8btový záchytný regstr s třístavovým výstupem (Latch, TS) 8-bt D type latch wth tr-state outputs (TS output) (Důležté) D Latch wth TS output OE LE D Q + X Q X X Z X nezáleží Z odpojeno AB4MIS Mkroprocesory pro výkonové systémy 4 65

66 8btový obousměrný budč sběrnce (Transcever) (Důležté) 8-bt bus transcever wth tr-state outputs Bus Transcever OE DIR X A port A B Z Z Bport Z B A Z Z odpojeno AB4MIS Mkroprocesory pro výkonové systémy 4 66

67 Společná sběrnce (Bus, Common Bus) (Důležté) Použtí: propojení komunkujících bloků počítače Sběrnce jednosměrná nebo obousměrná Sběrnce s třístavovým budč nebo s budč s otevřeným kolektorem AB4MIS Mkroprocesory pro výkonové systémy 4 67

68 Přehled sekvenčních bloků a budčů dle kategore -bt Counter - Moore 8-bt Shft Reg. P-S 4-bt Regster, Clock -bt Counter - Mealy Tme Delay Regster, Parallel Load 8-bt Counter Clock Impuls Tr-state Latch 6-bt Counter Debounce Crcut 8-bt Transcever 4-bt Shft Reg. S-P 4-bt Shft Reg., Enable Common Bus 4-bt Shft Reg. P-S Rng Counter Bus Drvers AB4MIS Mkroprocesory pro výkonové systémy 4 68

69 Čítače (Counter) (???) Specální typ regstru zahrnuje funkce přčítaní nebo odčítání Čítače čítají (odčítají) modulo M Úplnéčítačečítají modulo n (tj. čítají do 4, 8, 6, ) Neúplnéčítače čítají např. do 5,, 3, 55, 8,. Čítače čítají: v bnární kódu v Grayově kódu (mění se vždy jen jedna stavová proměnná) v Johansonově kódu (mění se vždy jen jedna stavová proměnná) v dalších kódech Čítače jsou: Synchronní stavové klopné obvody mají společné hodny Asynchronní výstup jednoho klopného obvodu tvoří hodny následujícího klopného obvodu AB4MIS Mkroprocesory pro výkonové systémy 4 69

70 Synchronní btový bnární čítač s blokováním (???) Navrhněte synchronní konečný automat (FSA Fnte State Automaton, Moore) typu čítač. Čítač čítá v bnárním kódu, je btový a má blokování čítání. V zapojení použjte půlsčítačku (Half Adder). Automat navrhněte s asynchronním nulováním. Přenos Moore Blokování čítání e = AB4MIS Mkroprocesory pro výkonové systémy 4 7

71 Synchronní btový bnární čítač s blokováním (???) Moore Stavový dagram I I Vstupy (Inputs) O Výstupy (Outputs) S -tý stav 3 I S I I S 3 S I Tabulka přechodů S I I S S S S S S S S S 3 S 3 S 3 S I S I I Tabulka výstupů S O S O S S O O S 3 O 3 AB4MIS Mkroprocesory pro výkonové systémy 4 7

72 Synchronní btový bnární čítač s blokováním (???) Tabulka přechodů Moore S I I S S S S S S S S S 3 S 3 S 3 S S S + Kódování stavů S S S! S S 3 S S! S S 3 e d d S + S S! S S 3 S S S 3 S AB4MIS Mkroprocesory pro výkonové systémy 4 7

73 Synchronní btový bnární čítač s blokováním (???) Tabulka výstupů Moore S O S O S S O O S 3 O 3 S Kódování výstupů O S y y c O S O S! O S O S 3 O 3 AB4MIS Mkroprocesory pro výkonové systémy 4 73

74 Synchronní btový bnární čítač s blokováním (???) Moore d e d Mnmalzace = e + e = e d 3 d = = ( + e + e + e ) + e = = e = = ( e ) + ( e ) ( e ) = y = y = c =e AB4MIS Mkroprocesory pro výkonové systémy 4 74

75 Synchronní btový bnární čítač s blokováním (???) Moore Half Adder Half Adder Realzace Moore AB4MIS Mkroprocesory pro výkonové systémy 4 75

76 Synchronní 8btový bnární čítač s blokováním (???) AB4MIS Mkroprocesory pro výkonové systémy 4 76

77 Synchronní btový bnární čítač s blokováním (???) Navrhněte synchronní konečný automat (FSA Fnte State Automaton, Mealy) typu čítač. Čítač čítá v bnárním kódu, je btový a má blokování čítaní. V zapojení použjte půlsčítačku (Half Adder). Automat navrhněte s asynchronním nulováním. Přenos Mealy Blokování čítání e = AB4MIS Mkroprocesory pro výkonové systémy 4 77

78 Synchronní btový bnární čítač s blokováním (???) Stavový dagram I Vstupy (Inputs) O Výstupy (Outputs) S -tý stav Mealy Tabulka přechodů S I I S S S S S S S S S 3 S 3 S 3 S Tabulka výstupů S I S I O S O O S O O S 3 O 3 O 3 O AB4MIS Mkroprocesory pro výkonové systémy 4 78

79 Synchronní btový bnární čítač s blokováním (???) Tabulka přechodů Mealy S I I S S S S S S S S S 3 S 3 S 3 S S S + Kódování stavů S S S! S S 3 S S! S S 3 e d d S + S S! S S 3 S S S 3 S AB4MIS Mkroprocesory pro výkonové systémy 4 79

80 Synchronní btový bnární čítač s blokováním (???) Tabulka výstupů Mealy S I S I O S O O S O O S 3 O 3 O 3 O Kódování výstupů S S e S y O y O O S! O! S O S 3 O 3 S O S! O S O 3 S 3 O AB4MIS Mkroprocesory pro výkonové systémy 4 8

81 Synchronní btový bnární čítač s blokováním (???) Mealy d e d Mnmalzace = e + e = e d 3 d = = ( + e + e + e ) + e = = e = = ( e ) + ( e ) ( e ) = c =e AB4MIS Mkroprocesory pro výkonové systémy 4 8

82 Synchronní btový bnární čítač s blokováním (???) Mealy y e y Mnmalzace = e + e = e y 3 y = = ( + e + e + e ) + e = = e = = ( e ) + ( e ) ( e ) = c =e AB4MIS Mkroprocesory pro výkonové systémy 4 8

83 Synchronní btový bnární čítač s blokováním (???) Realzace Mealy Mealy AB4MIS Mkroprocesory pro výkonové systémy 4 83

84 Synchronní btový bnárníčítač s blokováním (porovnání) (???) Moore Mealy I I S I 3 I S 3 S I I S I I AB4MIS Mkroprocesory pro výkonové systémy 4 84

85 Synchronní btový bnárníčítač s blokováním (porovnání) (???) Moore Tabulka přechodů S I I S S S S S S S S S 3 S 3 S 3 S Mealy Tabulka přechodů S I I S S S S S S S S S 3 S 3 S 3 S Tabulka výstupů S O S O S S O O S 3 O 3 Tabulka výstupů S I S I O S O O S O O S 3 O 3 O 3 O AB4MIS Mkroprocesory pro výkonové systémy 4 85

86 Synchronní btový bnární čítač s blokováním (porovnání) Moore S S + Mealy S S + Kódování stavů Kódování stavů S e d d S + S e d d S + S S S S S! S! S! S! S S S S S 3 S 3 S 3 S 3 S S S S S! S S! S S S 3 S S 3 S 3 S S 3 S AB4MIS Mkroprocesory pro výkonové systémy 4 86

87 Synchronní btový bnárníčítač s blokováním (porovnání) (???) Moore Mealy S O S O Kódování výstupů Kódování výstupů S y y c O S e y y O S O S O S! O S! O! S O S O S 3 O 3 S 3 O 3 S O S! O S O 3 S 3 O AB4MIS Mkroprocesory pro výkonové systémy 4 87

88 Synchronní btový bnárníčítač s blokováním (porovnání) (???) Moore Mealy AB4MIS Mkroprocesory pro výkonové systémy 4 88

89 Synchronní btový bnárníčítač s blokováním (porovnání) (???) Moore Mealy AB4MIS Mkroprocesory pro výkonové systémy 4 89

90 Synchronní 4btový bnární čítač (???) Navrhněte synchronní konečný automat (FSA Fnte State Automaton) typu čítač. Čítač čítá v bnárním kódu a je 4btový. V zapojení použjte půlsčítačku (Half Adder). Automat navrhněte s asynchronním nulováním. AB4MIS Mkroprocesory pro výkonové systémy 4 9

91 Synchronní 4btový bnární čítač (???) Moore Stavový dagram I Vstupy (Inputs) O Výstupy (Outputs) S -tý stav AB4MIS Mkroprocesory pro výkonové systémy 4 9

92 Synchronní 4btový bnární čítač (???) Moore Tabulka přechodů S S S S S 8 S S 9 S S 3 S 9 S S S S S 3 S 4 S S S 4 S 5 S S 3 S 5 S 6 S 3 S 4 S 6 S 7 S 4 S 5 Tabulka výstupů S O S O S O O O S 8 S O 8 S 9 S O 9 S O S 3 O 3 S O O 4 S O S 4 O 3 S 5 O 5 S 3 O 6 S 4 O 4 S 7 S 8 S 5 S S 7 O 7 S 6 O 5 S 5 AB4MIS Mkroprocesory pro výkonové systémy 4 9

93 AB4MIS Mkroprocesory pro výkonové systémy 4 93 Synchronní 4btový bnární čítač (???) d d d 3 d S S Kódování stavů S S + y y y 3 y O S Kódování výstupů S O Moore (???)

94 Synchronní 4btový bnární čítač (???) Moore d 3 d 3 Mnmalzace d = = d = = + = AB4MIS Mkroprocesory pro výkonové systémy 4 94

95 AB4MIS Mkroprocesory pro výkonové systémy Synchronní 4btový bnární čítač (???) Mnmalzace d 3 d ) ( ) ( ) ( ) ( d = = + = = + + = = + + = ) ( ) ( ) ( ) ( ) ( d = = + = = = = = Moore

96 Synchronní 4btový bnární čítač (???) Moore Realzace AB4MIS Mkroprocesory pro výkonové systémy 4 96

97 Synchronní 6btový bnární čítač (???) AB4MIS Mkroprocesory pro výkonové systémy 4 97

98 Posuvný regstr (Shft Regster) (Důležté) Použtí: Převod sérové nformace na paralelní Sérová komunkace - příjem Převod paralelní nformace na sérovou Sérová komunkace vysílání Defnované zpoždění sgnálu Vícefázové hodny pro řízení sekvenčních obvodů Kruhový čítač (Rng Counter) Převod hladnového sgnálu na mpuls Clock pulse crcut Potlačení zákmtů mechanckých tlačítek a spínačů Debounce crcut Další použtí AB4MIS Mkroprocesory pro výkonové systémy 4 98

99 Posuvný regstr (4bt Shft Regster, Seral to Parallel) (Důležté) AB4MIS Mkroprocesory pro výkonové systémy 4 99

100 Posuvný regstr (4bt Shft Regster, Parallel to Seral) (Důležté) load = nastav AB4MIS Mkroprocesory pro výkonové systémy 4

101 Posuvný regstr (8bt Shft Regster, Seral to Parallel) (Důležté) AB4MIS Mkroprocesory pro výkonové systémy 4

102 Posuvný regstr (4bt Shft Regster, Seral to Parallel) (Důležté) Defnované zpoždění sgnálu AB4MIS Mkroprocesory pro výkonové systémy 4

103 Posuvný regstr (4bt Shft Regster, Seral to Parallel) (Důležté) Převod hladnového sgnálu na mpuls (testování hardware) AB4MIS Mkroprocesory pro výkonové systémy 4 3

104 Posuvný regstr (4bt Shft Regster, Seral to Parallel) (Důležté) Potlačení zákmtů mechanckých tlačítek a spínačů (Debounce Crcut) AB4MIS Mkroprocesory pro výkonové systémy 4 4

105 Posuvný regstr (4bt Shft Regster, Shft Enable) (???) sh = posuv AB4MIS Mkroprocesory pro výkonové systémy 4 5

106 Kruhový čítač (4bt Rng Counter) (Důležté) Vícefázové hodny pro řízení sekvenčních obvodů AB4MIS Mkroprocesory pro výkonové systémy 4 6

107 Kruhový čítač (4bt Rng Counter) (Důležté) Vícefázové hodny pro řízení sekvenčních obvodů AB4MIS Mkroprocesory pro výkonové systémy 4 7

108 Posuvný regstr (4bt Shft Regster, Seral to Parallel) (???) Převod sérových dat na paralelní - prncp AB4MIS Mkroprocesory pro výkonové systémy 4 8

109 Posuvný regstr (6bt Shft Regster, Seral to Parallel) (???) AB4MIS Mkroprocesory pro výkonové systémy 4 9

110 Regstr (4bt Regster) (Důležté) n klopných obvodůřízených společným hodnovým sgnálem set d d d d 3 clk reset 4bt Regster 3 AB4MIS Mkroprocesory pro výkonové systémy 4

111 Regstr (4bt Regster, Parallel Load) (Důležté) n klopných obvodůřízených společným hodnovým sgnálem Záps do regstru př trvale běžících hodnách sgnálem load = bt regstru AB4MIS Mkroprocesory pro výkonové systémy 4

112 Regstr (4bt Regster, Parallel Load) (Důležté) n klopných obvodůřízených společným hodnovým sgnálem Záps do regstru př trvale běžících hodnách sgnálem load = AB4MIS Mkroprocesory pro výkonové systémy 4

113 Hazardy (Důležté) Co je hazard v logckých obvodech Příčny vznku hazardu Nalezení hazardu Kdy hazard ovlvní čnnost logckých obvodů? Poznámka: zde se zabýváme jen statckým hazardem. Exstují ještě hazardy dynamcké (souvsejí se statckým) AB4MIS Mkroprocesory pro výkonové systémy 4 3

114 Příčny vznku hazardu (Důležté) Hazard je krátká neočekávaná změna výstupního sgnálu (gltch), která není matematckým výstupem logcké funkce Sgnál ze vstupu logckého obvodu se šíří na výstup různým cestam, které se někdy rozdělí a pak zase spojí. Sgnál se různým cestam vlvem časového zpoždění na hradlech a vodčích šíří různou dobu. V místě opětovného spojení má sgnál z různých cest různý časový posun. Statcký hazard výstup logckého obvodu má být trvale v nebo (má být statcký), místo toho se objeví krátký mpuls do opačné úrovně. -- statcký hazard v -- statcký hazard v AB4MIS Mkroprocesory pro výkonové systémy 4 4

115 Statcký hazard v úrovn (Důležté) T = 4ns c T = ns c T = 4ns f = x y + y z T = 4ns T c = = T c = 4+ 4= 8ns ns TH = Tc Tc ns = AB4MIS Mkroprocesory pro výkonové systémy 4 5

116 Statcký hazard v úrovn (Důležté) T = 4 ns c T = ns T = 4 ns T = 4 ns c Pro : x f =, z = = x y + y z =!! hazard Skutečnost Má být AB4MIS Mkroprocesory pro výkonové systémy 4 6

117 Kdy hazardy vadí? (Důležté) Hazardy v kombnačních obvodech nejsou krtcké výstup kombnačního obvodu se po určté (krátké) době vždy ustálí ve správné hodnotě Hazardy v sekvenčních obvodech mohou uvést klopné obvody do nesprávného stavu a tím nastavt celý sekvenční obvod (konečný automat) do nevratného krtckého stavu!!! Řešení: Hazard-free desgn Synchronní návrh a správný výpočet maxmální povolené hodnové (synchronzační) frekvence AB4MIS Mkroprocesory pro výkonové systémy 4 7

118 MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Logcké obvody Kombnační a sekvenční stavební bloky KONEC České vysoké učení techncké Fakulta elektrotechncká AB4MIS Mkroprocesory pro výkonové systémy 4 8

Struktura a architektura počítačů

Struktura a architektura počítačů Struktur rchtektur počítčů Čsování klopných ovodů Logcké komnční ovod (lok) používné v číslcovém počítč České vsoké učení techncké Fkult elektrotechncká Ver..3 J. Zděnek / M. Chomát 24 Čsování výpočet

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktura a archtektura počítačů Logcké obvody - sekvenční Formy popsu, konečný automat Příklady návrhu České vysoké učení techncké Fakulta elektrotechncká Ver..2 J. Zděnek 24 Logcký sekvenční obvod Logcký

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktura a architktura počítačů Logické skvnční obvody (bloky) a budič používané v číslicovém počítači Čské vysoké uční tchnické Fakulta lktrotchnická Vr..3 J. Zděnk / M. Chomát 24 st d in d d d 2 d 3

Více

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu MIKROPROCEORY PRO VÝKONOVÉ YTÉMY MIKROPROCEORY PRO VÝKONOVÉ YTÉMY Logcké obvody - sekvenční Formy popsu, konečný automat Příklady návrhu České vysoké učení techncké Fakulta elektrotechncká AB4MI Mkroprocesory

Více

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu MIKROPROCEORY PRO VÝKONOVÉ YTÉMY MIKROPROCEORY PRO VÝKONOVÉ YTÉMY Logcké obvody - sekvenční Formy popsu, konečný automat Příklady návrhu České vysoké učení techncké Fakulta elektrotechncká AB4MI Mkroprocesory

Více

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač Y36SAP 27 Y36SAP-4 Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač 27-Kubátová Y36SAP-Logické obvody typické Často používané funkce Majorita:

Více

Struktura a architektura počítačů (BI-SAP) 4

Struktura a architektura počítačů (BI-SAP) 4 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1 Logické obvody 10 Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita 6.12.2007 Logické obvody - 10 hazardy 1 Neúplné čítače Návrh čítače M5 na tabuli v kódu binárním a Grayově

Více

Struktura a architektura počítačů (BI-SAP) 3

Struktura a architektura počítačů (BI-SAP) 3 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 3 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

ARITMETICKOLOGICKÁ JEDNOTKA

ARITMETICKOLOGICKÁ JEDNOTKA Vyšší odborná škola a Střední průmyslová škola elektrotechncká Božetěchova 3, Olomouc Třída : M4 Školní rok : 2000 / 2001 ARITMETICKOLOGICKÁ JEDNOTKA III. Praktcká úloha z předmětu elektroncké počítače

Více

Registry a čítače část 2

Registry a čítače část 2 Registry a čítače část 2 Vypracoval SOU Ohradní Vladimír Jelínek Aktualizace září 2012 Úvod Registry a čítače jsou častým stavebním blokem v číslicových systémech. Jsou založeny na funkci synchronních

Více

SEKVENČNÍ LOGICKÉ OBVODY

SEKVENČNÍ LOGICKÉ OBVODY Sekvenční logický obvod je elektronický obvod složený z logických členů. Sekvenční obvod se skládá ze dvou částí kombinační a paměťové. Abychom mohli určit hodnotu výstupní proměnné, je potřeba u sekvenčních

Více

LOGICKÉ OBVODY J I Ř Í K A L O U S E K

LOGICKÉ OBVODY J I Ř Í K A L O U S E K LOGICKÉ OBVODY J I Ř Í K A L O U S E K Ostrava 2006 Obsah předmětu 1. ČÍSELNÉ SOUSTAVY... 2 1.1. Číselné soustavy - úvod... 2 1.2. Rozdělení číselných soustav... 2 1.3. Polyadcké číselné soustavy... 2

Více

Čísla a aritmetika. Řádová čárka = místo, které odděluje celou část čísla od zlomkové.

Čísla a aritmetika. Řádová čárka = místo, které odděluje celou část čísla od zlomkové. Příprava na cvčení č.1 Čísla a artmetka Číselné soustavy Obraz čísla A v soustavě o základu z: m A ( Z ) a z (1) n kde: a je symbol (číslce) z je základ m je počet řádových míst, na kterých má základ kladný

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Opakování. České vysoké učení technické Fakulta elektrotechnická

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Opakování. České vysoké učení technické Fakulta elektrotechnická MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Opakování České vysoké učení technické Fakulta elektrotechnická AB4MIS Mikroprocesory pro výkonové systémy Ver..4 J. Zděnek, 27 MOS transistory jako elektrické spínače

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Cíle. Teoretický úvod

Cíle. Teoretický úvod Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních

Více

SIMULACE. Numerické řešení obyčejných diferenciálních rovnic. Měřicí a řídicí technika magisterské studium FTOP - přednášky ZS 2009/10

SIMULACE. Numerické řešení obyčejných diferenciálních rovnic. Měřicí a řídicí technika magisterské studium FTOP - přednášky ZS 2009/10 SIMULACE numercké řešení dferencálních rovnc smulační program dentfkace modelu Numercké řešení obyčejných dferencálních rovnc krokové metody pro řešení lneárních dferencálních rovnc 1.řádu s počátečním

Více

Příklady popisu základních obvodů ve VHDL

Příklady popisu základních obvodů ve VHDL Příklady popisu základních obvodů ve VHDL INP - cvičení 2 Michal Bidlo, 2008 bidlom@fit.vutbr.cz entity Circuit is port ( -- rozhraní obvodu ); end Circuit; Proces architecture Behavioral of Circuit is

Více

Sekvenční logické obvody

Sekvenční logické obvody Sekvenční logické obvody Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou Sekvenční obvody - paměťové členy, klopné obvody flip-flop Asynchronní klopné obvody

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Systém přerušení. České vysoké učení technické Fakulta elektrotechnická

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Systém přerušení. České vysoké učení technické Fakulta elektrotechnická MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Systém přerušení České vysoké učení technické Fakulta elektrotechnická A1B14MIS Mikroprocesory pro výkonové systémy 6 Ver.1.2 J. Zděnek, 213 1 pic18f Family Interrupt

Více

MĚRENÍ V ELEKTROTECHNICE

MĚRENÍ V ELEKTROTECHNICE EAICKÉ OKHY ĚENÍ V ELEKOECHNICE. řesnost měření. Chyby analogových a číslcových měřcích přístrojů. Chyby nepřímých a opakovaných měření. rmární etalon napětí. Zdroje referenčních napětí. rmární etalon

Více

Typy a použití klopných obvodů

Typy a použití klopných obvodů Typy a použití klopných obvodů Klopné obvody s hodinovým vstupem mění svůj stav, pokud hodinový vstup má hodnotu =. Přidáním invertoru před hodinový vstup je lze upravit tak, že budou měnit svůj stav tehdy,

Více

2.9 Čítače. 2.9.1 Úkol měření:

2.9 Čítače. 2.9.1 Úkol měření: 2.9 Čítače 2.9.1 Úkol měření: 1. Zapište si použité přístroje 2. Ověřte časový diagram asynchronního binárního čítače 7493 3. Ověřte zkrácení početního cyklu čítače 7493 4. Zapojte binární čítač ve funkci

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2 Koncept pokročilého návrhu ve VHDL INP - cvičení 2 architecture behv of Cnt is process (CLK,RST,CE) variable value: std_logic_vector(3 downto 0 if (RST = '1') then value := (others => '0' elsif (CLK'event

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Klopné obvody jsou nejjednodušší sekvenční součástky Záleží na předcházejícím stavu Asynchronní klopné obvody reagují na změny vstupu okamžitě Synchronní

Více

Přednáška - Čítače. 2013, kat. měření, ČVUT - FEL, Praha J. Fischer. A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1

Přednáška - Čítače. 2013, kat. měření, ČVUT - FEL, Praha J. Fischer. A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1 Přednáška - Čítače 2013, kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1 Náplň přednášky Čítače v MCU forma, principy činnosti A3B38MMP, 2013, J.Fischer,

Více

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická LOGICKÉ SYSTÉMY PRO ŘÍZENÍ Doc. Ing. Jiří Bayer, CSc Dr.Ing. Zdeněk Hanzálek Ing. Richard Šusta 2000 Vydavatelství ČVUT Předmluva Skriptum

Více

1 z 16 11.5.2009 11:33 Test: "CIT_04_SLO_30z50" Otázka č. 1 U Mooreova automatu závisí okamžitý výstup Odpověď A: na okamžitém stavu pamětí Odpověď B: na minulém stavu pamětí Odpověď C: na okamžitém stavu

Více

3. Sekvenční logické obvody

3. Sekvenční logické obvody 3. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody příklad sekv.o. Příklad sledování polohy vozíku

Více

Návrh ovládání zdroje ATX

Návrh ovládání zdroje ATX Návrh ovládání zdroje ATX Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením

Více

18A - PRINCIPY ČÍSLICOVÝCH MĚŘICÍCH PŘÍSTROJŮ Voltmetry, A/D převodníky - principy, vlastnosti, Kmitoměry, čítače, fázoměry, Q- metry

18A - PRINCIPY ČÍSLICOVÝCH MĚŘICÍCH PŘÍSTROJŮ Voltmetry, A/D převodníky - principy, vlastnosti, Kmitoměry, čítače, fázoměry, Q- metry 18A - PRINCIPY ČÍSLICOVÝCH MĚŘICÍCH PŘÍSTROJŮ Voltmetry, A/D převodníky - principy, vlastnosti, Kmitoměry, čítače, fázoměry, Q- metry Digitální voltmetry Základním obvodem digitálních voltmetrů je A/D

Více

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1.

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1. Y36SAP 26.2.27 Y36SAP-2 Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka 27-Kubátová Y36SAP-Logické obvody Logický obvod Vstupy a výstupy nabývají pouze hodnot nebo Kombinační obvod popsán

Více

Návrh synchronního čítače

Návrh synchronního čítače Návrh synchronního čítače Zadání: Navrhněte synchronní čítač mod 7, který čítá vstupní impulsy na vstupu x. Při návrhu použijte klopné obvody typu -K a maximálně třívstupová hradla typu NAND. Řešení: Čítač

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

{ } SYNTÉZA TABULEK PŘECHODŮ 1. NEALGEBRAICKÉ METODY

{ } SYNTÉZA TABULEK PŘECHODŮ 1. NEALGEBRAICKÉ METODY SNTÉZA TABULEK PŘECHODŮ. NEALGEBRAICKÉ METOD a) GINSBURGOVA METODA Využívá tzv. korespondencí mez vstupním a výstupním slovem př dané vstupní a výstupní abecedě. Jnak řečeno, vyhodnocuí se ednotlvé odezvy

Více

Návrh čítače jako automatu

Návrh čítače jako automatu ávrh čítače jako automatu Domovská URL dokumentu: http://dce.felk.cvut.cz/lsy/cviceni/pdf/citacavrh.pdf Obsah ÁVRH ČÍTAČE JAO AUTOMATU.... SYCHROÍ A ASYCHROÍ AUTOMAT... 2.a. Výstupy automatu mohou být

Více

Sekvenční logické obvody

Sekvenční logické obvody Název a adresa školy: Střední škola průmyslová a umělecká, Opava, příspěvková organizace, Praskova 399/8, Opava, 746 01 Název operačního programu: OP Vzdělávání pro konkurenceschopnost, oblast podpory

Více

4. Elektronické logické členy. Elektronické obvody pro logické členy

4. Elektronické logické členy. Elektronické obvody pro logické členy 4. Elektronické logické členy Kombinační a sekvenční logické funkce a logické členy Elektronické obvody pro logické členy Polovodičové paměti 1 Kombinační logické obvody Způsoby zápisu logických funkcí:

Více

VY_32_INOVACE_CTE_2.MA_19_Registry posuvné a kruhové. Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl

VY_32_INOVACE_CTE_2.MA_19_Registry posuvné a kruhové. Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_CTE_2.MA_19_egistry posuvné a kruhové Název školy Autor Tematická oblast očník Střední odborná škola a Střední odborné učiliště, ubno

Více

Vysoká škola báňská - Technická univerzita Ostrava Fakulta elektrotechniky a informatiky LOGICKÉ OBVODY pro kombinované a distanční studium

Vysoká škola báňská - Technická univerzita Ostrava Fakulta elektrotechniky a informatiky LOGICKÉ OBVODY pro kombinované a distanční studium Vysoká škola báňská - Techncká unverzta Ostrava Fakulta elektrotechnky a nformatky LOGICKÉ OBVODY pro kombnované a dstanční studum Zdeněk Dvš Zdeňka Chmelíková Iva Petříková Ostrava ZDENĚK DIVIŠ, ZDEŇKA

Více

Sylabus kurzu Elektronika

Sylabus kurzu Elektronika Sylabus kurzu Elektronika 5. ledna 2004 1 Analogová část Tato část je zaměřena zejména na elektronické prvky a zapojení v analogových obvodech. 1.1 Pasivní elektronické prvky Rezistor, kondenzátor, cívka-

Více

B. Sčítání,odčítání adoplňkovýkód

B. Sčítání,odčítání adoplňkovýkód B. Sčítání,odčítání adoplňkovýkód číselné soustavy a řádová mřížka sčítání a odčítání racionálních a celých čísel úplná a poloviční sčítačka sčítačka s postupným šířením přenosu a s predikcí přenosů sčítání

Více

ASYNCHRONNÍ ČÍTAČE Použité zdroje:

ASYNCHRONNÍ ČÍTAČE Použité zdroje: ASYNCHRONNÍ ČÍTAČE Použité zdroje: Antošová, A., Davídek, V.: Číslicová technika, KOPP, České Budějovice 2007 http://www.edunet.souepl.cz www.sse-lipniknb.cz http://www.dmaster.wz.cz www.spszl.cz http://mikroelektro.utb.cz

Více

Způsoby realizace této funkce:

Způsoby realizace této funkce: KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je výstup určen jen výhradně kombinací vstupních veličin. Hodnoty výstupních veličin nezávisejí na předcházejícím stavu logického obvodu, což znamená, že kombinační

Více

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty.

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty. Akademický rok 2016/2017 Připravil: adim Farana Technická kybernetika Klopné obvody, sekvenční funkční diagramy, programovatelné logické automaty 2 Obsah Klopné obvody:. D. JK. Použití klopných obvodů.

Více

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Číselné vyjádření hodnoty. Kolik váží hrouda zlata? Čísla a logika Číselné vyjádření hodnoty Au Kolik váží hrouda zlata? Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží

Více

Spínací a číslicová technika

Spínací a číslicová technika Spínací a číslcová technka Jří Podlešák, Petr Skalcký Praha 99 Tento tet byl uvolněn pouze pro potřeby studentů v předmětech KN a CS na katedře Radoelektronky ČVUT jako doplňující lteratura. Tet bez souhlasu

Více

VY_32_INOVACE_CTE_2.MA_18_Čítače asynchronní, synchronní. Střední odborná škola a Střední odborné učiliště, Dubno Ing.

VY_32_INOVACE_CTE_2.MA_18_Čítače asynchronní, synchronní. Střední odborná škola a Střední odborné učiliště, Dubno Ing. Číslo projektu Číslo materiálu Z.1.07/1.5.00/34.0581 VY_3_INOVAE_TE_.MA_18_Čítače asynchronní, synchronní Název školy Autor Tematická oblast Ročník Střední odborná škola a Střední odborné učiliště, Dubno

Více

Spojité regulátory - 1 -

Spojité regulátory - 1 - Spojté regulátory - 1 - SPOJIÉ EGULÁOY Nespojté regulátory mají většnou jednoduchou konstrukc a jsou levné, ale jsou nevhodné tím, že neudržují regulovanou velčnu přesně na žádané hodnotě, neboť regulovaná

Více

Logické funkce a obvody, zobrazení výstupů

Logické funkce a obvody, zobrazení výstupů Logické funkce a obvody, zobrazení výstupů Digitální obvody (na rozdíl od analogových) využívají jen dvě napěťové úrovně, vyjádřené stavy logické nuly a logické jedničky. Je na nich založeno hodně elektronických

Více

11. Logické analyzátory. 12. Metodika měření s logickým analyzátorem

11. Logické analyzátory. 12. Metodika měření s logickým analyzátorem +P12 11. Logické analyzátory Základní srovnání logického analyzátoru a číslicového osciloskopu Logický analyzátor blokové schéma, princip funkce Časová analýza, glitch mód a transitional timing, chyba

Více

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ Odlišnosti silových a ovládacích obvodů Logické funkce ovládacích obvodů Přístrojová realizace logických funkcí Programátory pro řízení procesů Akční členy ovládacích

Více

Operace ALU. INP 2008 FIT VUT v Brně

Operace ALU. INP 2008 FIT VUT v Brně Operace ALU INP 2008 FIT VUT v Brně 1 Princip ALU (FX) Požadavky: Logické operace Sčítání (v doplňkovém kódu) Posuvy/rotace Násobení ělení B A not AN OR XOR + Y 1) Implementace logických operací je zřejmá

Více

BI-JPO (Jednotky počítače) Cvičení

BI-JPO (Jednotky počítače) Cvičení BI-JPO (Jednotky počítače) Cvičení Ing. Pavel Kubalík, Ph.D., 2010 Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze Evropský sociální fond Praha & EU: Investujeme

Více

SEP2 Sensor processor. Technická dokumentace

SEP2 Sensor processor. Technická dokumentace SEP2 Sensor processor Technická dokumentace EGMedical, s.r.o. Křenová 19, 602 00 Brno CZ www.strasil.net 2010 Obsah 1. Úvod...3 2. Zapojení zařízení...4 2.1. Připojení napájecího napětí...4 2.2. Připojení

Více

Manuál přípravku FPGA University Board (FUB)

Manuál přípravku FPGA University Board (FUB) Manuál přípravku FPGA University Board (FUB) Rozmístění prvků na přípravku Obr. 1: Rozmístění prvků na přípravku Na obrázku (Obr. 1) je osazený přípravek s FPGA obvodem Altera Cyclone III EP3C5E144C8 a

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 7 ČASOVÁNÍ A SYNCHRONIZACE TECHNICKÉHO VYBAVENÍ doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních

Více

5. Sekvenční logické obvody

5. Sekvenční logické obvody 5. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody - příklad asynchronního sekvenčního obvodu 3.

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Předmět Ústav Úloha č. 9 BIO - igitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Cíle Pochopení funkce obvodu pro odstranění zákmitů na

Více

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/ Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/34.0452 Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0452 OV_2_51_Posuvné registry použití Název

Více

REG10 návod k instalaci a použití 2.část Univerzální časovač a čítač AVC/ 02

REG10 návod k instalaci a použití 2.část Univerzální časovač a čítač AVC/ 02 Programovatelná řídící jednotka REG10 návod k instalaci a použití 2.část Univerzální časovač a čítač AVC/ 02 1 Obsah: 1. Obecný popis... 3 1.1 Popis programu... 3 1.2 Vstupní vyhodnocované hodnoty... 3

Více

Číslicové obvody základní pojmy

Číslicové obvody základní pojmy Číslicové obvody základní pojmy V číslicové technice se pracuje s fyzikálními veličinami, které lze popsat při určité míře zjednodušení dvěma stavy. Logické stavy binární proměnné nabývají dvou stavů:

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 2 KOMUNIKACE NAČIPU, LATENCE, PROPUSTNOST, ARCHITEKTURY doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních

Více

Obsah DÍL 1. Předmluva 11

Obsah DÍL 1. Předmluva 11 DÍL 1 Předmluva 11 KAPITOLA 1 1 Minulost a současnost automatizace 13 1.1 Vybrané základní pojmy 14 1.2 Účel a důvody automatizace 21 1.3 Automatizace a kybernetika 23 Kontrolní otázky 25 Literatura 26

Více

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je vstup určen jen výhradně kombinací vstupních veličin. Hodnoty

Více

MĚŘENÍ ELEKTRICKÝCH PARAMETRŮ V OBVODECH S PWM ŘÍZENÝMI ZDROJI NAPĚTÍ Electric Parameter Measurement in PWM Powered Circuits

MĚŘENÍ ELEKTRICKÝCH PARAMETRŮ V OBVODECH S PWM ŘÍZENÝMI ZDROJI NAPĚTÍ Electric Parameter Measurement in PWM Powered Circuits Techncká 4, 66 07 Praha 6 MĚŘENÍ ELEKTRICKÝCH PARAMETRŮ V OBVODECH S PWM ŘÍZENÝMI ZDROJI NAPĚTÍ Electrc Parameter Measurement n PWM Powered Crcuts Martn Novák, Marek Čambál, Jaroslav Novák Abstrakt: V

Více

Struktura a architektura počítačů (BI-SAP) 10

Struktura a architektura počítačů (BI-SAP) 10 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 10 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

5. A/Č převodník s postupnou aproximací

5. A/Č převodník s postupnou aproximací 5. A/Č převodník s postupnou aproximací Otázky k úloze domácí příprava a) Máte sebou USB flash-disc? b) Z jakých obvodů se v principu skládá převodník s postupnou aproximací? c) Proč je v zapojení použit

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Speciální obvody a jejich programování v C 2. díl

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Speciální obvody a jejich programování v C 2. díl MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Speciální obvody a jejich programování v C 2. díl České vysoké učení technické Fakulta elektrotechnická Ver.1.10 J. Zděnek, 2017 Compare Unit jiné řešení Následující

Více

Základní principy konstrukce systémové sběrnice - shrnutí. Shrnout základní principy konstrukce a fungování systémových sběrnic.

Základní principy konstrukce systémové sběrnice - shrnutí. Shrnout základní principy konstrukce a fungování systémových sběrnic. Základní principy konstrukce systémové sběrnice - shrnutí Shrnout základní principy konstrukce a fungování systémových sběrnic. 1 Co je to systémová sběrnice? Systémová sběrnice je prostředek sloužící

Více

Procesor. Základní prvky procesoru Instrukční sada Metody zvýšení výkonu procesoru

Procesor. Základní prvky procesoru Instrukční sada Metody zvýšení výkonu procesoru Počítačové systémy Procesor Miroslav Flídr Počítačové systémy LS 2006-1/17- Západočeská univerzita v Plzni Víceúrovňová organizace počítače Digital logic level Microarchitecture level Processor Instruction

Více

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky...

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky... Konečný automat. Syntéza kombinačních a sekvenčních logických obvodů. Sekvenční obvody asynchronní, synchronní a pulzní. Logické řízení technologických procesů, zápis algoritmů a formulace cílů řízení.

Více

EVROPSKÝ POLYTECHNICKÝ INSTITUT s. r. o. Digitální technika. Garant předmětu: Prof. Ing. Tomáš Dostál, DrSc.

EVROPSKÝ POLYTECHNICKÝ INSTITUT s. r. o. Digitální technika. Garant předmětu: Prof. Ing. Tomáš Dostál, DrSc. EVROPSKÝ POLYTECHNCKÝ NSTTUT s. r. o. Digitální technika Garant předmětu: Prof. ng. Tomáš Dostál, DrSc. Autoři textu: Doc. ng. Jaromír Kolouch, CSc. Prof. ng. Tomáš Dostál, DrSc. Kunovice. 9. 2008 2 Evropský

Více

Systém řízení sběrnice

Systém řízení sběrnice Systém řízení sběrnice Sběrnice je komunikační cesta, která spojuje dvě či více zařízení. V určitý okamžik je možné aby pouze jedno z připojených zařízení vložilo na sběrnici data. Vložená data pak mohou

Více

BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE

BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE Úvod Účelem úlohy je seznámení s funkcemi a zapojeními několika sekvenčních logických obvodů, s tzv. bistabilními klopnými obvody a čítači. U logických obvodů se často

Více

Iterační výpočty. Dokumentace k projektu pro předměty IZP a IUS. 22. listopadu projekt č. 2

Iterační výpočty. Dokumentace k projektu pro předměty IZP a IUS. 22. listopadu projekt č. 2 Dokumentace k projektu pro předměty IZP a IUS Iterační výpočty projekt č.. lstopadu 1 Autor: Mlan Setler, setl1@stud.ft.vutbr.cz Fakulta Informačních Technologí Vysoké Učení Techncké v Brně Obsah 1 Úvod...

Více

Binární data. Číslicový systém. Binární data. Klávesnice Snímače polohy, dotykové displeje, myš Digitalizovaná data odvozená z analogového signálu

Binární data. Číslicový systém. Binární data. Klávesnice Snímače polohy, dotykové displeje, myš Digitalizovaná data odvozená z analogového signálu 5. Obvody pro číslicové zpracování signálů 1 Číslicový systém počítač v reálném prostředí Klávesnice Snímače polohy, dotykové displeje, myš Digitalizovaná data odvozená z analogového signálu Binární data

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktur rchtektur počítčů Číselé soustvy Převody me soustvm, kódy Artmetcké operce České vysoké učeí techcké Fkult elektrotechcká Ver J Zděek 3 Polydcké číselé soustvy (počí) Hodot čísl v soustvě se ákldem

Více

Otázka 10 - Y36SAP. Zadání. Logické obvody. Slovníček pojmů. Základní logické členy (hradla)

Otázka 10 - Y36SAP. Zadání. Logické obvody. Slovníček pojmů. Základní logické členy (hradla) Otázka 10 - Y36SAP Zadání Logické obvody. Logické funkce, formy jejich popisu. Kombinační obvody a jejich návrh. Sekvenční systém jako konečný automat. Synchronní a asynchronní sekvenční obvody a jejich

Více

CTJ. Lineární moduly CTJ. Charakteristika. 03 > Lineární jednotky

CTJ. Lineární moduly CTJ. Charakteristika. 03 > Lineární jednotky Lneární moduly CTJ Charakterstka CTJ Lneární jednotky (moduly) řady CTJ jsou moduly s pohonem ozubeným řemenem a se dvěma paralelním kolejncovým vedením. Kompaktní konstrukce lneárních jednotek CTJ umožňuje

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) imní semestr 2/2 Jiří Douša, katedra číslicového návrhu (K83), České vysoké učení technické v Prae,

Více

Hodnocení účinnosti údržby

Hodnocení účinnosti údržby Hodnocení účnnost ekonomka, pojmy, základní nástroje a hodnocení Náklady na údržbu jsou nutné k obnovení funkce výrobního zařízení Je potřeba se zabývat ekonomckou efektvností a hodnocením Je třeba řešt

Více

Principy počítačů I - Procesory

Principy počítačů I - Procesory Principy počítačů I - Procesory snímek 1 VJJ Principy počítačů Část V Procesory 1 snímek 2 Struktura procesoru musí umožnit změnu stavu stroje v libovolném kroku uvolnění nebo znemožnění pohybu dat po

Více

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer Přednáška A3B38MMP Bloky mikropočítače vestavné aplikace, dohlížecí obvody 2015, kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2015, J.Fischer, kat. měření, ČVUT - FEL Praha 1 Hlavní bloky procesoru

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Obvody s třístavovým výstupem dva tranzistory: vodivostní kanál typ N vodivostní kanál typ P X CS 3 stavový sa výstup Y P logika X 3 stavový výstup W N CS

Více

DESKA ANALOGOVÝCH VSTUPŮ A VÝSTUPŮ ±24mA DC, 16 bitů

DESKA ANALOGOVÝCH VSTUPŮ A VÝSTUPŮ ±24mA DC, 16 bitů ZÁKLADNÍ CHARAKTERISTIKA Připojení 8 analogových vstupů Připojení 4 analogových výstupů Měření a simulace stejnosměrných proudových signálů Vstupní rozsah ±20mA, ±5mA Výstupní rozsah 0 24mA Rozlišení vstupů

Více

KZPE semestrální projekt Zadání č. 1

KZPE semestrální projekt Zadání č. 1 Zadání č. 1 Navrhněte schéma zdroje napětí pro vstupní napětí 230V AC, který bude disponovat výstupními větvemi s napětím ±12V a 5V, kde každá větev musí být schopna dodat maximální proud 1A. Zdroj je

Více

Úvod do počítačových architektur

Úvod do počítačových architektur Úvod do počítačových architektur T.Mainzer Úvod - analogový vs digitální počítač - analogový - (+) rychlost, (-) přesnost, opakovatelnost, specializovanost - digitální - (+) opakovatelnost, univerzálnost

Více

Programovatelné relé Easy (Moeller), Logo (Siemens)

Programovatelné relé Easy (Moeller), Logo (Siemens) Programovatelné Easy (Moeller), Logo (Siemens) Základní způsob programování LOGO Programovaní pomocí P - propojení P s automatem sériovou komunikační linkou - program vytvářen v tzv ovém schématu /ladder

Více

Programovatelná počítadla CT6M a CT6S

Programovatelná počítadla CT6M a CT6S Products Elektrické stroje Elektronická počítadla Počítadla s předvolbou Programovatelná počítadla CT6M a CT6S Kombinace počítadlo / časové relé Velký výběr funkcí Funkce počítadla dávek (pouze CT6M-1P

Více

Dělení pamětí Volatilní paměti Nevolatilní paměti. Miroslav Flídr Počítačové systémy LS /11- Západočeská univerzita v Plzni

Dělení pamětí Volatilní paměti Nevolatilní paměti. Miroslav Flídr Počítačové systémy LS /11- Západočeská univerzita v Plzni ělení pamětí Volatilní paměti Nevolatilní paměti Počítačové systémy Vnitřní paměti Miroslav Flídr Počítačové systémy LS 2006-1/11- Západočeská univerzita v Plzni ělení pamětí Volatilní paměti Nevolatilní

Více

A/D a D/A PŘEVODNÍK 0(4) až 24 ma DC, 16 bitů

A/D a D/A PŘEVODNÍK 0(4) až 24 ma DC, 16 bitů ZÁKLADNÍ CHARAKTERISTIKA Připojení 6 analogových vstupů Připojení 2 analogových výstupů Měření a simulace stejnosměrných proudových signálů Vstupní rozsahy 0 ma, 0 ma Výstupní rozsah 0 24mA Rozlišení vstupů

Více

MĚŘENÍ NA INTEGROVANÉM ČASOVAČI Navrhněte časovač s periodou T = 2 s.

MĚŘENÍ NA INTEGROVANÉM ČASOVAČI Navrhněte časovač s periodou T = 2 s. MĚŘENÍ NA INTEGOVANÉM ČASOVAČI 555 02-4. Navrhněte časovač s periodou T = 2 s. 2. Časovač sestavte na modulovém systému Dominoputer, startovací a nulovací signály realizujte editací výstupů z PC.. Změřte

Více

TECHNICKÝ POPIS MODULU GRAFIK =============================

TECHNICKÝ POPIS MODULU GRAFIK ============================= listů: 8 list : 1 TECHNICKÝ POPIS MODULU GRAFIK ============================= zpracoval: Nevoral schválil: Cajthaml ZPA, k.p. Nový Bor, listopad 1985 4-151-00342-4 list: 1 list: 2 1. VŠEOBECNĚ Obvody realizované

Více