Logické obvody Kombinační a sekvenční stavební bloky

Podobné dokumenty
Struktura a architektura počítačů

Struktura a architektura počítačů

Struktura a architektura počítačů

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač

Struktura a architektura počítačů (BI-SAP) 4

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1

Struktura a architektura počítačů (BI-SAP) 3

ARITMETICKOLOGICKÁ JEDNOTKA

Registry a čítače část 2

SEKVENČNÍ LOGICKÉ OBVODY

LOGICKÉ OBVODY J I Ř Í K A L O U S E K

Čísla a aritmetika. Řádová čárka = místo, které odděluje celou část čísla od zlomkové.

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Opakování. České vysoké učení technické Fakulta elektrotechnická

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Cíle. Teoretický úvod

SIMULACE. Numerické řešení obyčejných diferenciálních rovnic. Měřicí a řídicí technika magisterské studium FTOP - přednášky ZS 2009/10

Příklady popisu základních obvodů ve VHDL

Sekvenční logické obvody

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Systém přerušení. České vysoké učení technické Fakulta elektrotechnická

MĚRENÍ V ELEKTROTECHNICE

Typy a použití klopných obvodů

2.9 Čítače Úkol měření:

PROGRAMOVATELNÉ LOGICKÉ OBVODY

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Přednáška - Čítače. 2013, kat. měření, ČVUT - FEL, Praha J. Fischer. A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ


3. Sekvenční logické obvody

Návrh ovládání zdroje ATX

18A - PRINCIPY ČÍSLICOVÝCH MĚŘICÍCH PŘÍSTROJŮ Voltmetry, A/D převodníky - principy, vlastnosti, Kmitoměry, čítače, fázoměry, Q- metry

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1.

Návrh synchronního čítače

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

{ } SYNTÉZA TABULEK PŘECHODŮ 1. NEALGEBRAICKÉ METODY

Návrh čítače jako automatu

Sekvenční logické obvody

4. Elektronické logické členy. Elektronické obvody pro logické členy

VY_32_INOVACE_CTE_2.MA_19_Registry posuvné a kruhové. Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl

Vysoká škola báňská - Technická univerzita Ostrava Fakulta elektrotechniky a informatiky LOGICKÉ OBVODY pro kombinované a distanční studium

Sylabus kurzu Elektronika

B. Sčítání,odčítání adoplňkovýkód

ASYNCHRONNÍ ČÍTAČE Použité zdroje:

Způsoby realizace této funkce:

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty.

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Spínací a číslicová technika

VY_32_INOVACE_CTE_2.MA_18_Čítače asynchronní, synchronní. Střední odborná škola a Střední odborné učiliště, Dubno Ing.

Spojité regulátory - 1 -

Logické funkce a obvody, zobrazení výstupů

11. Logické analyzátory. 12. Metodika měření s logickým analyzátorem

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ

Operace ALU. INP 2008 FIT VUT v Brně

BI-JPO (Jednotky počítače) Cvičení

SEP2 Sensor processor. Technická dokumentace

Manuál přípravku FPGA University Board (FUB)

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

5. Sekvenční logické obvody

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/

REG10 návod k instalaci a použití 2.část Univerzální časovač a čítač AVC/ 02

Číslicové obvody základní pojmy

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Obsah DÍL 1. Předmluva 11

KOMBINAČNÍ LOGICKÉ OBVODY

MĚŘENÍ ELEKTRICKÝCH PARAMETRŮ V OBVODECH S PWM ŘÍZENÝMI ZDROJI NAPĚTÍ Electric Parameter Measurement in PWM Powered Circuits

Struktura a architektura počítačů (BI-SAP) 10

5. A/Č převodník s postupnou aproximací

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Speciální obvody a jejich programování v C 2. díl

Základní principy konstrukce systémové sběrnice - shrnutí. Shrnout základní principy konstrukce a fungování systémových sběrnic.

Procesor. Základní prvky procesoru Instrukční sada Metody zvýšení výkonu procesoru

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky...

EVROPSKÝ POLYTECHNICKÝ INSTITUT s. r. o. Digitální technika. Garant předmětu: Prof. Ing. Tomáš Dostál, DrSc.

Systém řízení sběrnice

BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE

Iterační výpočty. Dokumentace k projektu pro předměty IZP a IUS. 22. listopadu projekt č. 2

Binární data. Číslicový systém. Binární data. Klávesnice Snímače polohy, dotykové displeje, myš Digitalizovaná data odvozená z analogového signálu

Struktura a architektura počítačů

Otázka 10 - Y36SAP. Zadání. Logické obvody. Slovníček pojmů. Základní logické členy (hradla)

CTJ. Lineární moduly CTJ. Charakteristika. 03 > Lineární jednotky

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Hodnocení účinnosti údržby

Principy počítačů I - Procesory

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

DESKA ANALOGOVÝCH VSTUPŮ A VÝSTUPŮ ±24mA DC, 16 bitů

KZPE semestrální projekt Zadání č. 1

Úvod do počítačových architektur

Programovatelné relé Easy (Moeller), Logo (Siemens)

Programovatelná počítadla CT6M a CT6S

Dělení pamětí Volatilní paměti Nevolatilní paměti. Miroslav Flídr Počítačové systémy LS /11- Západočeská univerzita v Plzni

A/D a D/A PŘEVODNÍK 0(4) až 24 ma DC, 16 bitů

MĚŘENÍ NA INTEGROVANÉM ČASOVAČI Navrhněte časovač s periodou T = 2 s.

TECHNICKÝ POPIS MODULU GRAFIK =============================

Transkript:

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Část důležtá něco jen pro zájemce (Označeno???) Logcké obvody Kombnační a sekvenční stavební bloky České vysoké učení techncké Fakulta elektrotechncká AB4MIS Mkroprocesory pro výkonové systémy 4 Ver..3 J. Zděnek, 7

Časování výpočet maxmální hodnové frekvence (Důležté) Ovlvněno: Technologí Typy hradel Počtem vstupů u hradel Zatížením výstupů hradel (větvením) Typem klopných obvodů Délkou propojovacích vodčů (na plošném spoj, ) Vzájemnou polohou vodčů (kvalta návrhu plošného spoje) Rozmístěním součástek Počtem zemnících a napájecích vrstev Způsobem rozvodu napájení Rozmístěním blokovacích kondezátorů Dalším vlvy.. A7B4SAP Struktura a archtektura počítačů 4 Logcké bloky

Časování klopného obvodu (Důležté) Zde na hodnotě nezáleží Předsth (Setup Tme) Vstup D musí být stablní (ustálený) před aktvní (zde náběžnou) hranou hodnového sgnálu Přesah (Hold Tme) Vstup D musí zůstat stablní (ustálený) po aktvní (zde náběžné) hraně hodnového sgnálu Zpoždění (Clock-to-Q Tme) výstupu Q po aktvní (zde náběžné) hraně hodnového sgnálu AB4MIS Mkroprocesory pro výkonové systémy 4 3

Maxmální hodnová frekvence (Důležté) Všechny klopné obvody jsou řízeny stejným hodnovým sgnálem Kombnační logcké bloky: Vstupy jsou aktualzovány př každém taktu hodn Všechny výstupy musí být stablní před dalším taktem AB4MIS Mkroprocesory pro výkonové systémy 4 4

Krtcká cesta a peroda hodn (Důležté) 7 7 7 8 8 8 Zpoždění hradla Krtcká cesta Peroda hodn Krtcká cesta: nejpomalejší cesta mez lbovolným z regstrů (klop.obvodů) Mnmální peroda hodn je funkcí krtcké cesty Peroda T cmn musí být větší než: T c Clock to Q + Nejpomalejs cesta kombnacn cast + mn Setup Musí být splněny požadavky na stabltu vstupů a výstupů AB4MIS Mkroprocesory pro výkonové systémy 4 5

Krtcká cesta (Kc) (Důležté) Kc3 I x,,x Kc Kc O y,,y j Kc4 S,, k Clk AB4MIS Mkroprocesory pro výkonové systémy 4 6

Krtcká cesta (Kc) (Důležté) Kc4 Kc Kc Kc3 AB4MIS Mkroprocesory pro výkonové systémy 4 7

Hodnová frekvence = /Kc max (Důležté) Kc ze vstupů I na vstup stavového regstru Nestablta vstupů + zpoždění v LKO + Setup (předsth) Kc z výstupu stavového regstru na výstup O Clock-to-Q + zpoždění v LKO + požadavek na stabltu výstupů Kc3 ze vstupů I na výstupy O Nestablta vstupů + zpoždění v LKO + požadavek na stabltu výstupů Kc4 z výstupu stavového regstru na jeho vstupy Clock-to-Q + zpoždění v LKO + Setup (předsth) AB4MIS Mkroprocesory pro výkonové systémy 4 8

Detektor posloupnost btů (FSA typu Mealy) (Důležté) Kc 8 8 8 4 4 Kc 8 8 Kc4 4 4 6 Kc3 Kc = 8 + 8 + 4 [ns] Kc = 4 + 8 [ns] Kc3 = 6 + 8 [ns] Kc4 = 4 + 8 + 8 + 4 [ns] f max = / Tc = / 34ns = 9, 4 MHz mn TClock to Q = 4ns Setup= 4ns AB4MIS Mkroprocesory pro výkonové systémy 4 9

Přehled kombnačních bloků dle kategore Majorty Decoder Multplexer 4 to Half Adder Prorty Encoder bt Comparator Full Adder Demultplexer 4bt Comparator Half Subtractor Bnary to Gray Dec. bt Shfter L/R Full Subtractor Bn. to Johanson Dec. 4bt Shfter L/R, L/A 4bt Full Adder Multplexer to 4bt Barrel Shfter 4bt Adder/Subtractor AB4MIS Mkroprocesory pro výkonové systémy 4

Přehled kombnačních bloků (???) x x x e Prorty Encoder y y x x en y y y y 3 x x y a 3... a b 3... b y 3... y s s AB4MIS Mkroprocesory pro výkonové systémy 4

Přehled kombnačních bloků (???) AB4MIS Mkroprocesory pro výkonové systémy 4

Přehled kombnačních bloků (???) AB4MIS Mkroprocesory pro výkonové systémy 4 3

AB4MIS Mkroprocesory pro výkonové systémy 4 4 Majortní dekodér (???) Majorta Nabývá hodnoty, když většna vstupních proměnných je rovna Majorta ze 3 tj. nebo 3 vstupní proměnné mají hodnotu 7 6 5 4 3 D f x x x 7 6 5 4 3 D y x x x 6, 5 x x x x x x x x x x x x y + + + = = 7), m (3, Majorta ze 3

AB4MIS Mkroprocesory pro výkonové systémy 4 5 Majortní dekodér (???) 7 6 5 4 3 D f x x x 7 6 5 4 3 D y x x x 4 5 6 7 3 x x x y x x x x x x y + + = Majorta ze 3

Majortní dekodér (???) y = x + x + x x x x Realzace x x y x AB4MIS Mkroprocesory pro výkonové systémy 4 6

Prortní enkodér (???) Kóduje stav n vstupů do určeného kódu (např. bnárního) na výstupu Lbovolný počet vstupů x prortního enkodéru může současně nabývat hodnoty. Prortní enkodér na výstupech y k vyšle vždy pouze kód aktvního vstupu (tj. x = ) s nejvyšší prortou (zde nejvyšší prortu má x ) Použtí systém přerušení v počítačí (nterrupt system), Prortní enkodér x x x y y D 3 4 5 6 7 x x x y y D o 3 AB4MIS Mkroprocesory pro výkonové systémy 4 7

AB4MIS Mkroprocesory pro výkonové systémy 4 8 Prortní enkodér (???) 5 4,, 3 x x x x x x x x x x x x x x x y + + + + = = 7), m (, 6, 4 x x x x x x x x x y + + = = ) m (, y y x x x y y 7 6 5 4 3 D 3 D o x x x Prortní enkodér

Prortní enkodér (???) Prortní enkodér x x x 3 4 5 7 6 y y y y x x D 3 4 5 6 7 x x x y y 3 4 5 7 6 D o 3 x x x = x x x x x x x y + y = + AB4MIS Mkroprocesory pro výkonové systémy 4 9

Prortní enkodér (???) x x x y y Realzace y = + x x x y = + x x x x x y x y x AB4MIS Mkroprocesory pro výkonové systémy 4

Prortní enkodér (???) Blokování výstupu e = x x x e Prorty Encoder y y Realzace Kroužek značí, že aktvní stav je pro e = x y y x x e AB4MIS Mkroprocesory pro výkonové systémy 4

Dekodér/Demultplexer (Důležté) Dekóduje kód na vstupu (např. bnární) na kód z n na výstupu Typcky je výstupní kód aktvní v Použtí dekodér adresových bloků v počítač, x x en Decoder Demultplexer y y y y 3 Dekodér/Demultplexer D 3 x x Y 3 y y y AB4MIS Mkroprocesory pro výkonové systémy 4

Dekodér/Demultplexer (Důležté) x x en Decoder Demultplexer y y y y 3 Dekodér/Demultplexer D 3 x x Y 3 y y y y = x x y = x x y = x x y = 3 x x AB4MIS Mkroprocesory pro výkonové systémy 4 3

Dekodér/Demultplexer (Důležté) Realzace x x en Decoder Demultplexer y y y y 3 y = x x y = x x x x y y y = x x y = 3 x x y en y 3 AB4MIS Mkroprocesory pro výkonové systémy 4 4

Multplexer (Důležté) n vstupový multplexer je číslcový přepínač n vstupů na jeden výstup Multplexer na x x s Multplexer y D 3 4 5 6 7 s x x y x x y y 3 x s s 4 5 7 6 AB4MIS Mkroprocesory pro výkonové systémy 4 5

Multplexer (Důležté) y s 3 4 5 7 6 x y = s x + s x Realzace x x y s AB4MIS Mkroprocesory pro výkonové systémy 4 6

Multplexer (Důležté) Multplexer 4 na ze tří multplexerů na x Multplexer 4 na D s s 3 y x x x x 3 x x x 3 y s s AB4MIS Mkroprocesory pro výkonové systémy 4 7

Multplexer (Důležté) Multplexer 4 x na z multplexerů na a b y a...a 3 y... y 3 b...b 3 s a b a b y y a 3 b 3 y 3 s AB4MIS Mkroprocesory pro výkonové systémy 4 8

Komparátor (bt Comparator) (???) gt out [( x = y) AND( gt ) ] = n ( x > y) OR = Komparátor e lt out out = n n ( x = y) AND ( gt = ) AND ( lt = ) [( x = y) AND( lt ) ] = n ( x < y) OR = D 3 4 5 6 7 8 9 3 4 5 x y gt n lt n gt out e out lt out Nemůže nastat, doplníme pro co nejlepší mnmalzac AB4MIS Mkroprocesory pro výkonové systémy 4 9

Komparátor (bt Comparator) (???) gt out gt n lt n e out gt n lt n? 3? 3? 4 5 7 6? 3 5 4? 4 5 7 6? 3 5 4 x y? 8 9 x y? 8 9 gt. out = x. y + x. gtn + y gtn e out = x. y. gtn. ltn + x. y. gtn. ltn Greater Than Eual AB4MIS Mkroprocesory pro výkonové systémy 4 3

Komparátor (bt Comparator) (???) lt out? 3 gt n lt n? 4 5 7 6? 3 5 4 x y? 8 9 lt = x. y + x. lt + y. lt out n n Less Than AB4MIS Mkroprocesory pro výkonové systémy 4 3

Komparátor (bt Comparator) (???) Realzace x y gt n gt out lt n e out lt out AB4MIS Mkroprocesory pro výkonové systémy 4 3

Komparátor (4bt Comparator) (???) AB4MIS Mkroprocesory pro výkonové systémy 4 33

AB4MIS Mkroprocesory pro výkonové systémy 4 34 Převodník kódu (Code Converter) Bnary to Gray (???) Bnární kód na Grayův kód (sousední kombnace se lší pouze v jednom btu) y y 3 y x 3 3 9 8 7 4 y 5 6 5 4 3 D x x x Bnární na Grayův kód

Převodník kódu (Code Converter) Bnary to Gray (???) y y x 3 x 3 x x 4 5 7 6 4 5 7 6 3 5 4 8 9 3 5 4 8 9 x 3 x x 3 x y = x x + x x = x x y = x x + x x = x x AB4MIS Mkroprocesory pro výkonové systémy 4 35

Převodník kódu (Code Converter) Bnary to Gray (???) y x y 3 x x 3 3 4 5 7 6 4 5 7 6 3 5 4 3 5 4 8 9 8 9 x 3 x x 3 x y = x3 x + x3 x = x3 x y 3 = x3 AB4MIS Mkroprocesory pro výkonové systémy 4 36

Převodník kódu (Code Converter) Bnary to Gray (???) Realzace x y x y x y x 3 y 3 AB4MIS Mkroprocesory pro výkonové systémy 4 37

AB4MIS Mkroprocesory pro výkonové systémy 4 38 Převodník kódu (Code Converter) Bnary to Johanson (???) Bnární kód na Johansonův kód (sousední kombnace se lší pouze v jednom btu) s s 3 s 7 s 6 5 4 3 D x x x Bnární na Johansonův kód

Převodník kódu (Code Converter) Bnary to Johanson (???) s x 3 4 5 7 6 x s x 3 4 5 7 6 x s + = x x + x x x x x s x 3 4 5 7 6 x s = x x + x x = x x s 3 x 3 4 5 7 6 x s + = x x + x x x x x s 3 = x AB4MIS Mkroprocesory pro výkonové systémy 4 39

Převodník kódu (Code Converter) Bnary to Johanson (???) Realzace x s x s x s s 3 AB4MIS Mkroprocesory pro výkonové systémy 4 4

Půlsčítačka (Half Adder) (???) s = a + b Realzace D 3 a b s s = m (, ) = ab+ ab= a b = m ( 3) = ab AB4MIS Mkroprocesory pro výkonové systémy 4 4

AB4MIS Mkroprocesory pro výkonové systémy 4 4 Sčítačka (Full Adder) (???) 7 6 5 4 3 D a s p b p a b p a b p a b p a b s........ 7 4 + + + = = ),, m (, p a b p a b p a b p a b........ 7 6 5 + + + = = ),, m (3, p b a s + + =

Úprava logckého výrazu Sčítačka (Full Adder) (???) XOR XNOR s= a. b. p + a. b. p + a. b. p + a. b. p = p ( a b + a b) + p ( a b + a b) = = p ( a b + a b) + p ( a b + a b) = p ( a b) Half Adder s XNOR= XOR Vhodná mnmalzace z K-mapy = a. b. p + a. b. p + a. b. p + a. b. p = ab+ p ab+ p ab = ab+ p( ab+ ab ) = = ab+ p( a b) a 3 4 5 7 6 b p Half Adder AB4MIS Mkroprocesory pro výkonové systémy 4 43

Sčítačka (Full Adder) (???) Realzace AB4MIS Mkroprocesory pro výkonové systémy 4 44

Sčítačka (Full Adder) (???) Realzace AB4MIS Mkroprocesory pro výkonové systémy 4 45

Sčítačka (4bt Adder) (???) AB4MIS Mkroprocesory pro výkonové systémy 4 46

AB4MIS Mkroprocesory pro výkonové systémy 4 47 Půlodčítačka (Half Subtractor) (???) 3 D c d b a Realzace b a ab ab d = + = = ) (, m b a d = b c =a = () m

AB4MIS Mkroprocesory pro výkonové systémy 4 48 Odčítačka (Full Subtractor) (???) 7 6 5 4 3 D c + a d c b c b a d = c b a c b a c b a c b a d........ 7 4 + + + = = ),, m (, c b a c b a c b a c b a c........ 7 3 + + + = = + ),, m (,

AB4MIS Mkroprocesory pro výkonové systémy 4 49 ) ( ) ( b a c b a b a b a c b a b a c b a c b a c + = = + + = + + = + ) ( ) ( ) ( ) ( ) (........ b a c b a b a c b a b a c b a b a c b a b a c c b a c b a c b a c b a d = + + + = = + + + = + + + = Odčítačka (Full Subtractor) (???) XNOR= XOR XNOR XOR 4 5 6 7 3 Úprava logckého výrazu Vhodná mnmalzace z K-mapy Half Subtractor d Half Subtractor c c + c a b XNOR c b a c b a c b a c b a c........ + + + = +

Odčítačka (Full Subtractor) (???) Realzace Borrow Borrow AB4MIS Mkroprocesory pro výkonové systémy 4 5

Odčítačka (Full Subtractor) (???) Realzace AB4MIS Mkroprocesory pro výkonové systémy 4 5

Sčítačka/odčítačka (4bt Adder/Subtractor) (???) Pro čísla kódovaná v dvojkovém doplňku (Two s Complement) platí: d TC = a b= a + b + sub = odčítání odčítání borrow = c 4 carry = sčítání c 4 Sčítání odčítání realzováno pouze sčítačkou AB4MIS Mkroprocesory pro výkonové systémy 4 5

Shfter (bt Left/Rght) (Důležté) AB4MIS Mkroprocesory pro výkonové systémy 4 53

AB4MIS Mkroprocesory pro výkonové systémy 4 54 Shfter (bt Left/Rght) (Důležté) - - - - - - - - r out - - - - - - - - l out y sr 3 9 8 7 4 5 6 5 4 3 D l n r n x Posun bnárního řádu (o bt) sr = Shft Rght

Shfter (bt Left/Rght) (Důležté) sr l x y x out l n?? 3 4 5 7 6? 3 5 4????? 8 9 r n sr l n 3 4 5 7 6 3 5 4 8 9 r n l out sr + sr. x = y = sr. ln + sr. rn AB4MIS Mkroprocesory pro výkonové systémy 4 55

Shfter (bt Left/Rght) (Důležté) r out???? 3 x r n???? 4 5 7 6 sr l n 3 5 4 8 9 r out = sr + sr. x AB4MIS Mkroprocesory pro výkonové systémy 4 56

Shfter (bt Left/Rght) (Důležté) Realzace x sr l out l n r n y r out AB4MIS Mkroprocesory pro výkonové systémy 4 57

4bt Shfter (bt Left/Rght, Logcal/Arthmetc) (Důležté) sar = Shft Arthmetc sr = Shft Rght AB4MIS Mkroprocesory pro výkonové systémy 4 58

Barrel Shfter (4bt, Shft Left/Rotate Left) (Důležté) Posun vlevo nebo rotace vlevo o s btů (kombnační obvod) Zde ukázka posunu vlevo, podobně vpravo (pozor vpravo se lší logcký a artmetcký posun) rol = Rotate Left rol = Shft Left Posun o s btů s =,,,3,4 AB4MIS Mkroprocesory pro výkonové systémy 4 59

Barrel Shfter (4bt, Shft Left/Rotate Left) (Důležté) rol = rotate left AB4MIS Mkroprocesory pro výkonové systémy 4 6

Typy výstupů logckých členů (Důležté) Standardní výstup Totem-pole output (Push-Pull) Dvoustavový výstup Na výstupu vždy hodnota nebo Výstupy nelze navzájem spojovat Otevřený kolektor Open-collector output (OC) Na výstupu pouze spodní spínač Výstupy lze spojt, nutný upínací odpor na V cc Montážní součn Wred-AND Třístavový výstup Tr-state output (TS) Na výstupu hodnoty,, Z (Z = vysoká mpedance-odpojeno) Výstupy lze spojovat Řízení výstupních členů musí zajstt, že pouze jeden vysílač není v Z AB4MIS Mkroprocesory pro výkonové systémy 4 6

Dvoustavový výstup (Totem-pole output) (Důležté) Spínače jsou nad sebou AB4MIS Mkroprocesory pro výkonové systémy 4 6

Otevřený kolektor (Open-collector output, OC) (Důležté) Open-collector output a Gate Logc y v cc R b Gate Logc z Wred and f = y. z Common bus AB4MIS Mkroprocesory pro výkonové systémy 4 63

Třístavový výstup (Tr-state output, TS) (Důležté) AB4MIS Mkroprocesory pro výkonové systémy 4 64

8btový záchytný regstr s třístavovým výstupem (Latch, TS) 8-bt D type latch wth tr-state outputs (TS output) (Důležté) D Latch wth TS output OE LE D Q + X Q X X Z X nezáleží Z odpojeno AB4MIS Mkroprocesory pro výkonové systémy 4 65

8btový obousměrný budč sběrnce (Transcever) (Důležté) 8-bt bus transcever wth tr-state outputs Bus Transcever OE DIR X A port A B Z Z Bport Z B A Z Z odpojeno AB4MIS Mkroprocesory pro výkonové systémy 4 66

Společná sběrnce (Bus, Common Bus) (Důležté) Použtí: propojení komunkujících bloků počítače Sběrnce jednosměrná nebo obousměrná Sběrnce s třístavovým budč nebo s budč s otevřeným kolektorem AB4MIS Mkroprocesory pro výkonové systémy 4 67

Přehled sekvenčních bloků a budčů dle kategore -bt Counter - Moore 8-bt Shft Reg. P-S 4-bt Regster, Clock -bt Counter - Mealy Tme Delay Regster, Parallel Load 8-bt Counter Clock Impuls Tr-state Latch 6-bt Counter Debounce Crcut 8-bt Transcever 4-bt Shft Reg. S-P 4-bt Shft Reg., Enable Common Bus 4-bt Shft Reg. P-S Rng Counter Bus Drvers AB4MIS Mkroprocesory pro výkonové systémy 4 68

Čítače (Counter) (???) Specální typ regstru zahrnuje funkce přčítaní nebo odčítání Čítače čítají (odčítají) modulo M Úplnéčítačečítají modulo n (tj. čítají do 4, 8, 6, ) Neúplnéčítače čítají např. do 5,, 3, 55, 8,. Čítače čítají: v bnární kódu v Grayově kódu (mění se vždy jen jedna stavová proměnná) v Johansonově kódu (mění se vždy jen jedna stavová proměnná) v dalších kódech Čítače jsou: Synchronní stavové klopné obvody mají společné hodny Asynchronní výstup jednoho klopného obvodu tvoří hodny následujícího klopného obvodu AB4MIS Mkroprocesory pro výkonové systémy 4 69

Synchronní btový bnární čítač s blokováním (???) Navrhněte synchronní konečný automat (FSA Fnte State Automaton, Moore) typu čítač. Čítač čítá v bnárním kódu, je btový a má blokování čítání. V zapojení použjte půlsčítačku (Half Adder). Automat navrhněte s asynchronním nulováním. Přenos Moore Blokování čítání e = AB4MIS Mkroprocesory pro výkonové systémy 4 7

Synchronní btový bnární čítač s blokováním (???) Moore Stavový dagram I I Vstupy (Inputs) O Výstupy (Outputs) S -tý stav 3 I S I I S 3 S I Tabulka přechodů S I I S S S S S S S S S 3 S 3 S 3 S I S I I Tabulka výstupů S O S O S S O O S 3 O 3 AB4MIS Mkroprocesory pro výkonové systémy 4 7

Synchronní btový bnární čítač s blokováním (???) Tabulka přechodů Moore S I I S S S S S S S S S 3 S 3 S 3 S S S + Kódování stavů S S S! S S 3 S S! S S 3 e d d S + S S! S S 3 S S S 3 S AB4MIS Mkroprocesory pro výkonové systémy 4 7

Synchronní btový bnární čítač s blokováním (???) Tabulka výstupů Moore S O S O S S O O S 3 O 3 S Kódování výstupů O S y y c O S O S! O S O S 3 O 3 AB4MIS Mkroprocesory pro výkonové systémy 4 73

Synchronní btový bnární čítač s blokováním (???) Moore d e 3 4 5 7 6 d Mnmalzace = e + e = e d 3 d = = ( + e + e + e ) + e = = e 4 5 7 6 = = ( e ) + ( e ) ( e ) = y = y = c =e AB4MIS Mkroprocesory pro výkonové systémy 4 74

Synchronní btový bnární čítač s blokováním (???) Moore Half Adder Half Adder Realzace Moore AB4MIS Mkroprocesory pro výkonové systémy 4 75

Synchronní 8btový bnární čítač s blokováním (???) AB4MIS Mkroprocesory pro výkonové systémy 4 76

Synchronní btový bnární čítač s blokováním (???) Navrhněte synchronní konečný automat (FSA Fnte State Automaton, Mealy) typu čítač. Čítač čítá v bnárním kódu, je btový a má blokování čítaní. V zapojení použjte půlsčítačku (Half Adder). Automat navrhněte s asynchronním nulováním. Přenos Mealy Blokování čítání e = AB4MIS Mkroprocesory pro výkonové systémy 4 77

Synchronní btový bnární čítač s blokováním (???) Stavový dagram I Vstupy (Inputs) O Výstupy (Outputs) S -tý stav Mealy Tabulka přechodů S I I S S S S S S S S S 3 S 3 S 3 S Tabulka výstupů S I S I O S O O S O O S 3 O 3 O 3 O AB4MIS Mkroprocesory pro výkonové systémy 4 78

Synchronní btový bnární čítač s blokováním (???) Tabulka přechodů Mealy S I I S S S S S S S S S 3 S 3 S 3 S S S + Kódování stavů S S S! S S 3 S S! S S 3 e d d S + S S! S S 3 S S S 3 S AB4MIS Mkroprocesory pro výkonové systémy 4 79

Synchronní btový bnární čítač s blokováním (???) Tabulka výstupů Mealy S I S I O S O O S O O S 3 O 3 O 3 O Kódování výstupů S S e S y O y O O S! O! S O S 3 O 3 S O S! O S O 3 S 3 O AB4MIS Mkroprocesory pro výkonové systémy 4 8

Synchronní btový bnární čítač s blokováním (???) Mealy d e 3 4 5 7 6 d Mnmalzace = e + e = e d 3 d = = ( + e + e + e ) + e = = e 4 5 7 6 = = ( e ) + ( e ) ( e ) = c =e AB4MIS Mkroprocesory pro výkonové systémy 4 8

Synchronní btový bnární čítač s blokováním (???) Mealy y e 3 4 5 7 6 y Mnmalzace = e + e = e y 3 y = = ( + e + e + e ) + e = = e 4 5 7 6 = = ( e ) + ( e ) ( e ) = c =e AB4MIS Mkroprocesory pro výkonové systémy 4 8

Synchronní btový bnární čítač s blokováním (???) Realzace Mealy Mealy AB4MIS Mkroprocesory pro výkonové systémy 4 83

Synchronní btový bnárníčítač s blokováním (porovnání) (???) Moore Mealy I I S I 3 I S 3 S I I S I I AB4MIS Mkroprocesory pro výkonové systémy 4 84

Synchronní btový bnárníčítač s blokováním (porovnání) (???) Moore Tabulka přechodů S I I S S S S S S S S S 3 S 3 S 3 S Mealy Tabulka přechodů S I I S S S S S S S S S 3 S 3 S 3 S Tabulka výstupů S O S O S S O O S 3 O 3 Tabulka výstupů S I S I O S O O S O O S 3 O 3 O 3 O AB4MIS Mkroprocesory pro výkonové systémy 4 85

Synchronní btový bnární čítač s blokováním (porovnání) Moore S S + Mealy S S + Kódování stavů Kódování stavů S e d d S + S e d d S + S S S S S! S! S! S! S S S S S 3 S 3 S 3 S 3 S S S S S! S S! S S S 3 S S 3 S 3 S S 3 S AB4MIS Mkroprocesory pro výkonové systémy 4 86

Synchronní btový bnárníčítač s blokováním (porovnání) (???) Moore Mealy S O S O Kódování výstupů Kódování výstupů S y y c O S e y y O S O S O S! O S! O! S O S O S 3 O 3 S 3 O 3 S O S! O S O 3 S 3 O AB4MIS Mkroprocesory pro výkonové systémy 4 87

Synchronní btový bnárníčítač s blokováním (porovnání) (???) Moore Mealy AB4MIS Mkroprocesory pro výkonové systémy 4 88

Synchronní btový bnárníčítač s blokováním (porovnání) (???) Moore Mealy AB4MIS Mkroprocesory pro výkonové systémy 4 89

Synchronní 4btový bnární čítač (???) Navrhněte synchronní konečný automat (FSA Fnte State Automaton) typu čítač. Čítač čítá v bnárním kódu a je 4btový. V zapojení použjte půlsčítačku (Half Adder). Automat navrhněte s asynchronním nulováním. AB4MIS Mkroprocesory pro výkonové systémy 4 9

Synchronní 4btový bnární čítač (???) Moore Stavový dagram I Vstupy (Inputs) O Výstupy (Outputs) S -tý stav AB4MIS Mkroprocesory pro výkonové systémy 4 9

Synchronní 4btový bnární čítač (???) Moore Tabulka přechodů S S S S S 8 S S 9 S S 3 S 9 S S S S S 3 S 4 S S S 4 S 5 S S 3 S 5 S 6 S 3 S 4 S 6 S 7 S 4 S 5 Tabulka výstupů S O S O S O O O S 8 S O 8 S 9 S O 9 S O S 3 O 3 S O O 4 S O S 4 O 3 S 5 O 5 S 3 O 6 S 4 O 4 S 7 S 8 S 5 S S 7 O 7 S 6 O 5 S 5 AB4MIS Mkroprocesory pro výkonové systémy 4 9

AB4MIS Mkroprocesory pro výkonové systémy 4 93 Synchronní 4btový bnární čítač (???) d d d 3 d 3 4 3 3 9 9 8 8 7 5 4 7 6 5 4 3 S + 5 6 5 4 3 S Kódování stavů S S + y y y 3 y 3 3 3 9 9 8 8 7 7 4 4 5 6 5 4 3 O 5 6 5 4 3 S Kódování výstupů S O Moore (???)

Synchronní 4btový bnární čítač (???) Moore d 3 d 3 Mnmalzace 4 5 7 6 4 5 7 6 3 5 4 3 5 4 3 8 9 3 8 9 d = = d = = + = AB4MIS Mkroprocesory pro výkonové systémy 4 94

AB4MIS Mkroprocesory pro výkonové systémy 4 95 4 5 6 7 8 9 3 4 5 3 Synchronní 4btový bnární čítač (???) Mnmalzace 4 5 6 7 8 9 3 4 5 3 3 3 d 3 d ) ( ) ( ) ( ) ( d = = + = = + + = = + + = ) ( ) ( ) ( ) ( ) ( 3 3 3 3 3 3 3 3 3 d = = + = = + + + = = + + + = Moore

Synchronní 4btový bnární čítač (???) Moore Realzace AB4MIS Mkroprocesory pro výkonové systémy 4 96

Synchronní 6btový bnární čítač (???) AB4MIS Mkroprocesory pro výkonové systémy 4 97

Posuvný regstr (Shft Regster) (Důležté) Použtí: Převod sérové nformace na paralelní Sérová komunkace - příjem Převod paralelní nformace na sérovou Sérová komunkace vysílání Defnované zpoždění sgnálu Vícefázové hodny pro řízení sekvenčních obvodů Kruhový čítač (Rng Counter) Převod hladnového sgnálu na mpuls Clock pulse crcut Potlačení zákmtů mechanckých tlačítek a spínačů Debounce crcut Další použtí AB4MIS Mkroprocesory pro výkonové systémy 4 98

Posuvný regstr (4bt Shft Regster, Seral to Parallel) (Důležté) AB4MIS Mkroprocesory pro výkonové systémy 4 99

Posuvný regstr (4bt Shft Regster, Parallel to Seral) (Důležté) load = nastav AB4MIS Mkroprocesory pro výkonové systémy 4

Posuvný regstr (8bt Shft Regster, Seral to Parallel) (Důležté) AB4MIS Mkroprocesory pro výkonové systémy 4

Posuvný regstr (4bt Shft Regster, Seral to Parallel) (Důležté) Defnované zpoždění sgnálu AB4MIS Mkroprocesory pro výkonové systémy 4

Posuvný regstr (4bt Shft Regster, Seral to Parallel) (Důležté) Převod hladnového sgnálu na mpuls (testování hardware) AB4MIS Mkroprocesory pro výkonové systémy 4 3

Posuvný regstr (4bt Shft Regster, Seral to Parallel) (Důležté) Potlačení zákmtů mechanckých tlačítek a spínačů (Debounce Crcut) AB4MIS Mkroprocesory pro výkonové systémy 4 4

Posuvný regstr (4bt Shft Regster, Shft Enable) (???) sh = posuv AB4MIS Mkroprocesory pro výkonové systémy 4 5

Kruhový čítač (4bt Rng Counter) (Důležté) Vícefázové hodny pro řízení sekvenčních obvodů AB4MIS Mkroprocesory pro výkonové systémy 4 6

Kruhový čítač (4bt Rng Counter) (Důležté) Vícefázové hodny pro řízení sekvenčních obvodů AB4MIS Mkroprocesory pro výkonové systémy 4 7

Posuvný regstr (4bt Shft Regster, Seral to Parallel) (???) Převod sérových dat na paralelní - prncp AB4MIS Mkroprocesory pro výkonové systémy 4 8

Posuvný regstr (6bt Shft Regster, Seral to Parallel) (???) AB4MIS Mkroprocesory pro výkonové systémy 4 9

Regstr (4bt Regster) (Důležté) n klopných obvodůřízených společným hodnovým sgnálem set d d d d 3 clk reset 4bt Regster 3 AB4MIS Mkroprocesory pro výkonové systémy 4

Regstr (4bt Regster, Parallel Load) (Důležté) n klopných obvodůřízených společným hodnovým sgnálem Záps do regstru př trvale běžících hodnách sgnálem load = bt regstru AB4MIS Mkroprocesory pro výkonové systémy 4

Regstr (4bt Regster, Parallel Load) (Důležté) n klopných obvodůřízených společným hodnovým sgnálem Záps do regstru př trvale běžících hodnách sgnálem load = AB4MIS Mkroprocesory pro výkonové systémy 4

Hazardy (Důležté) Co je hazard v logckých obvodech Příčny vznku hazardu Nalezení hazardu Kdy hazard ovlvní čnnost logckých obvodů? Poznámka: zde se zabýváme jen statckým hazardem. Exstují ještě hazardy dynamcké (souvsejí se statckým) AB4MIS Mkroprocesory pro výkonové systémy 4 3

Příčny vznku hazardu (Důležté) Hazard je krátká neočekávaná změna výstupního sgnálu (gltch), která není matematckým výstupem logcké funkce Sgnál ze vstupu logckého obvodu se šíří na výstup různým cestam, které se někdy rozdělí a pak zase spojí. Sgnál se různým cestam vlvem časového zpoždění na hradlech a vodčích šíří různou dobu. V místě opětovného spojení má sgnál z různých cest různý časový posun. Statcký hazard výstup logckého obvodu má být trvale v nebo (má být statcký), místo toho se objeví krátký mpuls do opačné úrovně. -- statcký hazard v -- statcký hazard v AB4MIS Mkroprocesory pro výkonové systémy 4 4

Statcký hazard v úrovn (Důležté) T = 4ns c T = ns c T = 4ns f = x y + y z T = 4ns T c = + 4+ 4= T c = 4+ 4= 8ns ns TH = Tc Tc ns = AB4MIS Mkroprocesory pro výkonové systémy 4 5

Statcký hazard v úrovn (Důležté) T = 4 ns c T = ns T = 4 ns T = 4 ns c Pro : x f =, z = = x y + y z =!! hazard Skutečnost Má být AB4MIS Mkroprocesory pro výkonové systémy 4 6

Kdy hazardy vadí? (Důležté) Hazardy v kombnačních obvodech nejsou krtcké výstup kombnačního obvodu se po určté (krátké) době vždy ustálí ve správné hodnotě Hazardy v sekvenčních obvodech mohou uvést klopné obvody do nesprávného stavu a tím nastavt celý sekvenční obvod (konečný automat) do nevratného krtckého stavu!!! Řešení: Hazard-free desgn Synchronní návrh a správný výpočet maxmální povolené hodnové (synchronzační) frekvence AB4MIS Mkroprocesory pro výkonové systémy 4 7

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Logcké obvody Kombnační a sekvenční stavební bloky KONEC České vysoké učení techncké Fakulta elektrotechncká AB4MIS Mkroprocesory pro výkonové systémy 4 8