3. Aritmetika nad F p a F 2

Rozměr: px
Začít zobrazení ze stránky:

Download "3. Aritmetika nad F p a F 2"

Transkript

1 3. Aritmetika nad F p a F 2 m Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze c Martin Novotný, 2011 MI-BHW Bezpečnost a technické prostředky LS 2010/11, 3. přednáška Evropský sociální fond. Praha & EU: Investujeme do vaaí budoucnosti Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 1 / 27

2 Obsah přednášky Eliptické křivky nad F p a F 2 m, shrnutí operací Aritmetické operace nad F p Aritmetické operace nad F 2 m s polynomiální bází Aritmetické operace nad F 2 m s normální bází Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 2 / 27

3 Eliptické křivky nad F p a F 2 m EC nad F p Weierstrassova rovnice y 2 x 3 + ax + b mod p kde 4a b 2 / 0 mod p EC nad F 2 m Weierstrassova rovnice y 2 + xy x 3 + ax + b mod F(α) kde b 0 Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 3 / 27

4 EC nad F p sčítání bodu (základní operace) Pro bod R = P + Q, kde R = [x R, y R ], P = [x P, y P ] a Q = [x Q, y Q ], platí kde s = Potřebné operace nad F p sčítání, odčítání násobení x R = s 2 x P x Q y R = (x Q x R )s y Q mod p mod p y P y Q x P x Q mod p pokud P Q (sčítání) 3xQ 2 + a 2y Q mod p pokud P = Q (zdvojování) inverze (dělení je násobení inverzním prvkem) druhá mocnina pomocí násobení Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 4 / 27

5 EC nad F 2 m sčítání bodu (základní operace) Pro bod R = P + Q, kde R = [x R, y R ], P = [x P, y P ] a Q = [x Q, y Q ], platí x R = a + s 2 + s + x P + x Q kde s = y R = (x Q + x R )s + x R + y Q y P + y Q x P + x Q x Q + y Q x Q pokud P Q (sčítání) pokud P = Q (zdvojování) Potřebné operace nad F 2 m sčítání násobení inverze (dělení je násobení inverzním prvkem) druhá mocnina vyplatí se speciální obvod Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 5 / 27

6 Sčítání nad F p : C = A + B mod p A B Σ -p Σ 0 1 C Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 6 / 27

7 Odčítání nad F p : C = A B mod p A -B Σ p Σ 1 0 C Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 7 / 27

8 Klasická LSB násobička Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 8 / 27

9 Algoritmus Double-and-Add MSB násobení A 13 = A = (((1A 2) + 1A) 2 + 0A) 2 + 1A A 13 = A = 1A }{{} 2 + 1A 2 + 0A 2 + 1A 1 A }{{} 3 A }{{} 6 A } {{ } 13 A Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 9 / 27

10 Násobení nad F p : MSB násobička Vstup: A, B, p, kde 0 A, B p 1. Výstup: C = A B mod p k: počet bitů B b i : i-tý bit B 1. C = 0; 2. for i = k 1 downto 0 3. C = C 2 + b i A; 4. if C p then 5. C = C p; 6. end if; 7. if C p then 8. C = C p; 9. end if; 10. end for; B A << Σ -p Σ 0 1 -p Σ 0 1 C Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 10 / 27

11 Násobení nad F p : MSB násobička modifikace B << A Σ -p -2p Σ Σ C Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 11 / 27

12 Výpočet inverzního prvku nad F p Rozšířený Euklidův algoritmus (bude později) Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 12 / 27

13 Extension field F p m F p m se nazývá extension field nad tělesem F p. V kryptografii zpravidla pracujeme s binárními tělesy (binary field) F 2 m Prvky tělesa reprezentujeme bud jako polynomy nebo jako vektory (jsou to dva možné zápisy téhož) Příklad: některé prvky tělesa F 2 4 s polynomiální bází A = α 3 + α 2 = (1100) B = α 2 + α + 1 = (0111) Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 13 / 27

14 Sčítání nad F 2 m: C = A B Sčítání v binárním tělese je vždy bit-wise XOR (bez ohledu na bázi tělesa) a m-1 b m-1 a 1 b 1 a 0 b 0 c m-1 c 1 c 0 Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 14 / 27

15 Násobení nad F 2 m Algoritmus násobení je závislý na použité bázi (to je rozdíl oproti sčítání, které je vždy XOR) V praxi se používá polynomiální báze (polynomial basis) normální báze (normal basis) duální báze (dual basis) Polynomiální báze Báze Prvek tělesa {α m 1, α m 2,..., α 2, α, 1} A = a m 1 α m a 2 α 2 + a 1 α + a 0 = (a m 1... a 2 a 1 a 0 ) Nerozložitelný polynom (irreducible polynomial) F(α) = α m + g m 1 α m g 2 α 2 + g 1 α + g 0 Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 15 / 27

16 Algoritmus Double-and-Add MSB násobení Stejný algoritmus jako pro aritmetické násobení ( A 13 = A = A ) = = (((1A 2) + 1A) 2 + 0A) 2 + 1A můžeme použít i pro násobení polynomů ( ) ( A α 3 + α = A 1α 3 + 1α 2 + 0α 1 + 1α 0) = = (((1A α) + 1A) α + 0A) α + 1A Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 16 / 27

17 Lineární zpětnovazební posuvný registr (LFSR) LFSR (Linear feedback shift register) implementuje operaci A := Aα mod F(α) Příklad: LFSR pro F 2 16 m = 16 F(α) = α 16 + α 5 + α 3 + α Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 17 / 27

18 Násobení nad F 2 m s polynom. bází: MSB násobička Vstup: A, B F 2 m; B = m 1 i=0 b i α i Výstup: C A B mod F(α) A A B B 1. C = 0; 2. for i = m 1 downto 0 3. C = C α mod F (α) + b i A; 4. end for; LFSR C Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 18 / 27

19 Příklad: MSB násobička v F 2 6 s polynomiální bází A C B F(α) = α 6 + α + 1 Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 19 / 27

20 Příklad: MSB násobička v F s polynomiální bází F(x) = x x 7 + x 6 + x (zdroj: Guajardo, Gueneysu, Kumar, Paar, Pelzl: Efficient Hardware Implementation of Finite Fields with Applications to Cryptography) Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 20 / 27

21 Násobení nad F 2 m s polynom. bází: LSB násobička C A B mod F(α) ( A b 0 + b 1 α + b 2 α b m 1 α m 1) mod F(α) A b 0 + A b 1 α + A b 2 α A b m 1 α m 1 mod F(α) b 0 A + b 1 Aα + b 2 Aα b m 1 Aα m 1 mod F(α) b 0 A + b 1 (Aα mod F(α)) + b 2 (Aα 2 mod F(α)) b m 1 (Aα m 1 mod F(α)) b 0 A + b 1 (Aα mod F(α)) + b 2 ((Aα)α mod F(α)) b m 1 ((Aα m 2 )α mod F (α)) Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 21 / 27

22 Násobení nad F 2 m s polynom. bází: LSB násobička Vstup: A, B F 2 m; B = m 1 i=0 b i α i Výstup: C A B mod F(α) A A LFSR B B 1. C = 0; 2. for i = 0 to m 1 3. C = C + b i A; 4. A = A α mod F(α); 5. end for; C Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 22 / 27

23 Příklad: LSB násobička v F 2 6 s polynomiální bází F(α) = α 6 + α + 1 A C B Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 23 / 27

24 Výpočet inverzního prvku nad F 2 m s polynomiální bází Rozšířený Euklidův algoritmus (bude později) Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 24 / 27

25 Druhá mocnina nad F 2 m s polynomiální bází C A 2 mod F(α) (a m 1 α 2(m 1) + a m 1 α 2(m 2) + + a 1 α 2 + a 0 ) mod F(α) Příklad: Druhá mocnina v F 2 3 A = (a 2 a 1 a 0 ) = a 2 α 2 + a 1 α + a 0 A 2 = (a 2 α 2 + a 1 α + a 0 )(a 2 α 2 + a 1 α + a 0 ) = = a 2 α 4 + a 1 a 2 α 3 + a 0 a 2 α 2 + a 2 a 1 α 3 + a 1 α 2 + a 0 a 1 α + +a 2 a 0 α 2 + a 1 a 0 α + a 0 = = a 2 α 4 + 2a 1 a 2 α 3 + 2a 0 a 2 α 2 + a 1 α 2 + 2a 0 a 1 α + a 0 = = a 2 α 4 + a 1 α 2 + a 0 = (a 2 0a 1 0a 0 ) Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 25 / 27

26 Druhá mocnina nad F 2 m s polynomiální bází Příklad A = (a m 1 a m 1... a 2 a 1 a 0 ) A 2 = (a m 1 0a m a 2 0a 1 0a 0 ) Redukcí A 2 obdržíme relativně jednoduchý výraz. Namísto počítání druhé mocniny v násobičce (m taktů) se vyplatí vysyntetizovat dedikovanou umocňovačku (1 takt): polynom F(α) # XOR kritická cesta α α 8 + α 3 + α α α 7 + α 6 + α α α Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 26 / 27

27 Syntéza umocňovačky F 2 m s polynomiální bází Stačí popsat algoritmus, syntéza to vyhodnotí a zminimalizuje. SQUARER : process(a) variable A2 : std_logic_vector(2*m-1 downto 0); begin A2 := (others=> 0 ); for i in M-1 downto 0 loop -- A^2 A2(i*2) := A(i); end loop; for i in 2*M-1 downto M loop -- reduction if A2(i) = 1 then A2(i downto i-m) := A2(i downto i-m) xor F; end if; end loop; A_SQUARE <= A2(M-1 downto 0); end process; Martin Novotný (ČVUT FIT, 2011) 3. Aritmetika nad F p a F 2 m MI-BHW, 2011, 3. přednáška 27 / 27

Pokročilá kryptologie

Pokročilá kryptologie Pokročilá kryptologie Kryptografie eliptických křivkek doc. Ing. Róbert Lórencz, CSc. České vysoké učení technické v Praze Fakulta informačních technologií Katedra počítačových systémů Příprava studijních

Více

Násobení. MI-AAK(Aritmetika a kódy)

Násobení. MI-AAK(Aritmetika a kódy) MI-AAK(Aritmetika a kódy) Násobení c doc. Ing. Alois Pluháček, CSc., 2011 Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze Evropský sociální fond Praha&

Více

MPI - 5. přednáška. 1.1 Eliptické křivky

MPI - 5. přednáška. 1.1 Eliptické křivky MPI - 5. přednáška vytvořeno: 3. října 2016, 10:06 Doteď jsem se zabývali strukturami, které vzniknou přidáním jedné binární operace k neprázdné množině. Jako grupu jsme definovali takovou strukturu, kde

Více

Karel Klouda c KTI, FIT, ČVUT v Praze 28. února, letní semestr 2010/2011

Karel Klouda c KTI, FIT, ČVUT v Praze 28. února, letní semestr 2010/2011 MI-MPI, Přednáška č. 3 Karel Klouda karel.klouda@fit.cvut.cz c KTI, FIT, ČVUT v Praze 28. února, letní semestr 2010/2011 Množiny s jednou binární operací Neprázdná množina M s binární operací (resp. +

Více

České vysoké učení technické v Praze Fakulta elektrotechnická Katedra telekomunikační techniky. 7.přednáška. Kryptosystémy veřejného klíče II

České vysoké učení technické v Praze Fakulta elektrotechnická Katedra telekomunikační techniky. 7.přednáška. Kryptosystémy veřejného klíče II České vysoké učení technické v Praze Fakulta elektrotechnická Katedra telekomunikační techniky 7.přednáška Kryptosystémy veřejného klíče II Ing. Tomáš Vaněk, Ph.D. tomas.vanek@fel.cvut.cz Obsah EC nad

Více

Příklady popisu základních obvodů ve VHDL

Příklady popisu základních obvodů ve VHDL Příklady popisu základních obvodů ve VHDL INP - cvičení 2 Michal Bidlo, 2008 bidlom@fit.vutbr.cz entity Circuit is port ( -- rozhraní obvodu ); end Circuit; Proces architecture Behavioral of Circuit is

Více

Aplikovaná numerická matematika - ANM

Aplikovaná numerická matematika - ANM Aplikovaná numerická matematika - ANM 3 Řešení soustav lineárních rovnic iterační metody doc Ing Róbert Lórencz, CSc České vysoké učení technické v Praze Fakulta informačních technologií Katedra počítačových

Více

Šifrová ochrana informací věk počítačů PS5-2

Šifrová ochrana informací věk počítačů PS5-2 VŠFS; Aplikovaná informatika; SW systémy 2005/2006 1 Bezpečnost informací BI Ing. Jindřich Kodl, CSc. Šifrová ochrana informací věk počítačů PS5-2 VŠFS; Aplikovaná informatika; SW systémy 2005/2006 2 Osnova

Více

Struktura a architektura počítačů (BI-SAP) 5

Struktura a architektura počítačů (BI-SAP) 5 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 5 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

1. Chyby vstupních dat metody převedení úlohy na numerickou (řád použité metody) zaokrouhlovací reprezentace čísel v počítači

1. Chyby vstupních dat metody převedení úlohy na numerickou (řád použité metody) zaokrouhlovací reprezentace čísel v počítači 1. Chyby vstupních dat metody převedení úlohy na numerickou (řád použité metody) zaokrouhlovací reprezentace čísel v počítači 2. Reprezentace čísel v Pascalu celá čísla Typ Rozsah Formát shortint 128..127

Více

Šifrová ochrana informací věk počítačů PS5-2

Šifrová ochrana informací věk počítačů PS5-2 Bezpečnost informací BI Ing. Jindřich Kodl, CSc. Šifrová ochrana informací věk počítačů PS5-2 1 Osnova šifrová ochrana využívající výpočetní techniku např. Feistelova šifra; symetrické a asymetrické šifry;

Více

Architektury počítačů

Architektury počítačů Architektury počítačů IEEE754 České vysoké učení technické, Fakulta elektrotechnická A0M36APO Architektury počítačů Ver.1.20 2014 1 Fractional Binary Numbers (zlomková binární čísla / čísla v pevné řádové

Více

1 Zobrazení 1 ZOBRAZENÍ 1. Zobrazení a algebraické struktury. (a) Ukažte, že zobrazení f : x

1 Zobrazení 1 ZOBRAZENÍ 1. Zobrazení a algebraické struktury. (a) Ukažte, že zobrazení f : x 1 ZOBRAZENÍ 1 Zobrazení a algebraické struktury 1 Zobrazení Příklad 1.1. (a) Ukažte, že zobrazení f : x na otevřený interval ( 1, 1). x x +1 je bijekce množiny reálných čísel R (b) Necht a, b R, a < b.

Více

maticeteorie 1. Matice A je typu 2 4, matice B je typu 4 3. Jakých rozměrů musí být matice X, aby se dala provést

maticeteorie 1. Matice A je typu 2 4, matice B je typu 4 3. Jakých rozměrů musí být matice X, aby se dala provést Úlohy k zamyšlení 1. Zdůvodněte, proč třetí řádek Hornerova schématu pro vyhodnocení polynomu p v bodě c obsahuje koeficienty polynomu r, pro který platí p(x) = (x c) r(x) + p(c). 2. Dokažte, že pokud

Více

grupa těleso podgrupa konečné těleso polynomy komutativní generovaná prvkem, cyklická, řád prvku charakteristika tělesa

grupa těleso podgrupa konečné těleso polynomy komutativní generovaná prvkem, cyklická, řád prvku charakteristika tělesa grupa komutativní podgrupa těleso generovaná prvkem, cyklická, řád prvku Malá Fermatova věta konečné těleso charakteristika tělesa polynomy ireducibilní prvky, primitivní prvky definice: G, je grupa kde

Více

Polynomy nad Z p Konstrukce faktorových okruhů modulo polynom. Alena Gollová, TIK Počítání modulo polynom 1/30

Polynomy nad Z p Konstrukce faktorových okruhů modulo polynom. Alena Gollová, TIK Počítání modulo polynom 1/30 Počítání modulo polynom 3. přednáška z algebraického kódování Alena Gollová, TIK Počítání modulo polynom 1/30 Obsah 1 Polynomy nad Zp Okruh Zp[x] a věta o dělení se zbytkem 2 Kongruence modulo polynom,

Více

Obsah. Euler-Fermatova věta. Reziduální aritmetika. 3. a 4. přednáška z kryptografie

Obsah. Euler-Fermatova věta. Reziduální aritmetika. 3. a 4. přednáška z kryptografie Obsah Počítání modulo n a jeho časová složitost 3. a 4. přednáška z kryptografie 1 Počítání modulo n - dokončení Umocňování v Zn 2 Časová složitost výpočtů modulo n Asymptotická notace Základní aritmetické

Více

Implementace čítačů v číslicových systémech 2 Jakub Šťastný ASICentrum, s.r.o. FPGA Laboratoř, Katedra teorie obvodů FEL ČVUT Praha

Implementace čítačů v číslicových systémech 2 Jakub Šťastný ASICentrum, s.r.o. FPGA Laboratoř, Katedra teorie obvodů FEL ČVUT Praha Tento článek je původním rukopisem textu publikovaného v časopise DPS Elektronika A-Z: J. Šťastný. Implementace čítačů v číslicových systémech 2, DPS Plošné spoje od A do Z, no 4, pp. 11-14, 2011. Bez

Více

Operace s maticemi

Operace s maticemi Operace s maticemi Seminář druhý 17.10. 2018 Obsah 1 Operace s maticemi 2 Hodnost matice 3 Regulární matice 4 Inverzní matice Matice Definice (Matice). Reálná matice typu m n je obdélníkové schema A =

Více

NPRG030 Programování I, 2018/19 1 / :25:37

NPRG030 Programování I, 2018/19 1 / :25:37 NPRG030 Programování I, 2018/19 1 / 26 24. 9. 2018 10:25:37 Čísla v algoritmech a programech 10 26 Poloměr vesmíru 2651 studujících studentů MFF UK 3.142857... Ludolfovo číslo 10 16 stáří vesmíru v sekundách!!!

Více

MATICE. a 11 a 12 a 1n a 21 a 22 a 2n A = = [a ij]

MATICE. a 11 a 12 a 1n a 21 a 22 a 2n A = = [a ij] MATICE Matice typu m/n nad tělesem T je soubor m n prvků z tělesa T uspořádaných do m řádků a n sloupců: a 11 a 12 a 1n a 21 a 22 a 2n A = = [a ij] a m1 a m2 a mn Prvek a i,j je prvek matice A na místě

Více

Dělení. MI-AAK(Aritmetika a kódy)

Dělení. MI-AAK(Aritmetika a kódy) MI-AAK(Aritmetika a kódy) Dělení c doc. Ing. Alois Pluháček, CSc., 2011 Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze Evropský sociální fond Praha& EU:

Více

Úvod do kvantového počítání

Úvod do kvantového počítání 2. přednáška Katedra počítačů, Fakulta elektrotechnická České vysoké učení technické v Praze 17. března 2005 Opakování Část I Přehled z minulé hodiny Opakování Alternativní výpočetní modely Kvantové počítače

Více

Funkce. Limita a spojitost

Funkce. Limita a spojitost Funkce. Limita a spojitost skriptum J. Neustupa text Funkce (úvod) na této web stránce III.2 Fce - základní pojmy 1. Definice, def. obor D(f), obor hodnot H(f), graf 2. Fce složená, omezená, 3. Fce sudá,

Více

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2 Koncept pokročilého návrhu ve VHDL INP - cvičení 2 architecture behv of Cnt is process (CLK,RST,CE) variable value: std_logic_vector(3 downto 0 if (RST = '1') then value := (others => '0' elsif (CLK'event

Více

Proudové šifry a posuvné registry s lineární zpětnou vazbou

Proudové šifry a posuvné registry s lineární zpětnou vazbou Proudové šifry a posuvné registry s lineární zpětnou vazbou Andrew Kozlík KA MFF UK Proudové šifry Bloková šifra Šifruje velké bloky otevřeného textu. Bloky mají pevnou délku. Velké znamená, že je prakticky

Více

Kódy pro odstranění redundance, pro zabezpečení proti chybám. Demonstrační cvičení 5 INP

Kódy pro odstranění redundance, pro zabezpečení proti chybám. Demonstrační cvičení 5 INP Kódy pro odstranění redundance, pro zabezpečení proti chybám Demonstrační cvičení 5 INP Princip kódování, pojmy Tady potřebujeme informaci zabezpečit, utajit apod. zpráva 000 111 000 0 1 0... kodér dekodér

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více

Eliptické křivky a RSA

Eliptické křivky a RSA Přehled Katedra informatiky FEI VŠB TU Ostrava 11. února 2005 Přehled Část I: Matematický základ Část II: RSA Část III: Eliptické křivky Matematický základ 1 Základní pojmy a algoritmy Základní pojmy Složitost

Více

Souhrn Apendixu A doporučení VHDL

Souhrn Apendixu A doporučení VHDL Fakulta elektrotechniky a informatiky Univerzita Pardubice Souhrn Apendixu A doporučení VHDL Práce ke zkoušce z předmětu Programovatelné logické obvody Jméno: Jiří Paar Datum: 17. 2. 2010 Poznámka k jazyku

Více

Operace s maticemi. 19. února 2018

Operace s maticemi. 19. února 2018 Operace s maticemi Přednáška druhá 19. února 2018 Obsah 1 Operace s maticemi 2 Hodnost matice (opakování) 3 Regulární matice 4 Inverzní matice 5 Determinant matice Matice Definice (Matice). Reálná matice

Více

Datové struktury 2: Rozptylovací tabulky

Datové struktury 2: Rozptylovací tabulky Datové struktury 2: Rozptylovací tabulky prof. Ing. Pavel Tvrdík CSc. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze c Pavel Tvrdík, 2010 Efektivní algoritmy

Více

Algoritmus pro hledání vlastních čísel kvaternionových matic

Algoritmus pro hledání vlastních čísel kvaternionových matic Úvod Algoritmus pro hledání vlastních čísel kvaternionových matic Bc. Martin Veselý Fakulta jaderná a fyzikálně inženýrská Katedra softwarového inženýrství v ekonomii Skupina aplikované matematiky a stochastiky

Více

4 Počítání modulo polynom

4 Počítání modulo polynom 8 4 Počítání modulo polynom Co se vyplatilo jendou, vyplatí se i podruhé. V této kapitole zavedeme polynomy nad Z p a ukážeme, že množina všech polynomů nad Z p tvoří komutativní okruh s jednotkou. Je-li

Více

[1] x (y z) = (x y) z... (asociativní zákon), x y = y x... (komutativní zákon).

[1] x (y z) = (x y) z... (asociativní zákon), x y = y x... (komutativní zákon). Grupy, tělesa grupa: množina s jednou rozumnou operací příklady grup, vlastnosti těleso: množina se dvěma rozumnými operacemi příklady těles, vlastnosti, charakteristika tělesa lineární prostor nad tělesem

Více

FIT ČVUT MI-LOM Lineární optimalizace a metody. Dualita. Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

FIT ČVUT MI-LOM Lineární optimalizace a metody. Dualita. Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti FIT ČVUT MI-LOM Lineární optimalizace a metody Dualita Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Michal Černý, 2011 FIT ČVUT, MI-LOM, M. Černý, 2011: Dualita 2/5 Dualita Evropský

Více

7. Popis konečného automatu

7. Popis konečného automatu Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Miloš

Více

Vektorový prostor. Př.1. R 2 ; R 3 ; R n Dvě operace v R n : u + v = (u 1 + v 1,...u n + v n ), V (E 3 )...množina vektorů v E 3,

Vektorový prostor. Př.1. R 2 ; R 3 ; R n Dvě operace v R n : u + v = (u 1 + v 1,...u n + v n ), V (E 3 )...množina vektorů v E 3, Vektorový prostor Příklady: Př.1. R 2 ; R 3 ; R n...aritmetický n-rozměrný prostor Dvě operace v R n : součet vektorů u = (u 1,...u n ) a v = (v 1,...v n ) je vektor u + v = (u 1 + v 1,...u n + v n ),

Více

Lineární algebra : Polynomy

Lineární algebra : Polynomy Lineární algebra : Polynomy (2. přednáška) František Štampach, Karel Klouda frantisek.stampach@fit.cvut.cz, karel.klouda@fit.cvut.cz Katedra aplikované matematiky Fakulta informačních technologií České

Více

14. Složitější konstrukce

14. Složitější konstrukce Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Miloš

Více

Vestavěné diagnostické prostředky 1 (BIST)

Vestavěné diagnostické prostředky 1 (BIST) Vestavěné diagnostické prostředky 1 (BIST) Testování a spolehlivost ZS 2011/2012, 8. přednáška Ing. Petr Fišer, Ph.D. Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Evropský sociální

Více

Pokročilá kryptologie

Pokročilá kryptologie Pokročilá kryptologie RSA doc. Ing. Róbert Lórencz, CSc. České vysoké učení technické v Praze Fakulta informačních technologií Katedra počítačových systémů Příprava studijních programů Informatika pro

Více

Základní datové struktury III: Stromy, haldy

Základní datové struktury III: Stromy, haldy Základní datové struktury III: Stromy, haldy prof. Ing. Pavel Tvrdík CSc. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze c Pavel Tvrdík, 2010 Efektivní

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ FAKULTA INFORMAČNÍCH TECHNOLOGIÍ

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ FAKULTA INFORMAČNÍCH TECHNOLOGIÍ VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ FAKULTA INFORMAČNÍCH TECHNOLOGIÍ doc. Ing. Róbert Lórencz, CSc. EFEKTIVNÍ HARDWAROVÁ IMPLEMENTACE MULTIPLIKATIVNÍ MODULÁRNÍ INVERZE NAD GF(p) PRO KRYPTOGRAFICKÁ PRIMITIVA

Více

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Číselné vyjádření hodnoty. Kolik váží hrouda zlata? Čísla a logika Číselné vyjádření hodnoty Au Kolik váží hrouda zlata? Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží

Více

Úlohy k přednášce NMAG 101 a 120: Lineární algebra a geometrie 1 a 2,

Úlohy k přednášce NMAG 101 a 120: Lineární algebra a geometrie 1 a 2, Úlohy k přednášce NMAG a : Lineární algebra a geometrie a Verze ze dne. května Toto je seznam přímočarých příkladů k přednášce. Úlohy z tohoto seznamu je nezbytně nutné umět řešit. Podobné typy úloh se

Více

LEKCE 6. Operátory. V této lekci najdete:

LEKCE 6. Operátory. V této lekci najdete: LEKCE 6 Operátory V této lekci najdete: Aritmetické operátory...94 Porovnávací operátory...96 Operátor řetězení...97 Bitové logické operátory...97 Další operátory...101 92 ČÁST I: Programování v jazyce

Více

transformace je posunutí plus lineární transformace má svou matici vzhledem k homogenním souřadnicím [1]

transformace je posunutí plus lineární transformace má svou matici vzhledem k homogenním souřadnicím [1] [1] Afinní transformace je posunutí plus lineární transformace má svou matici vzhledem k homogenním souřadnicím využití například v počítačové grafice Evropský sociální fond Praha & EU. Investujeme do

Více

2. V Q[x] dělte se zbytkem polynomy:

2. V Q[x] dělte se zbytkem polynomy: Sbírka příkladů z polynomů pro předmět Cvičení z algebry I Dělení v okruzích polynomů 1. V Q[x] dělte se zbytkem polynomy a) (x 5 + x 3 2x + 1) : ( x 3 + x + 1), b) (3x 3 + 10x 2 + 2x 3) : (5x 2 + 25x

Více

Základní principy zobrazení čísla Celá čísla s pevnou řádovou čárkou Zobrazení reálných čísel Aritmetika s binárními čísly

Základní principy zobrazení čísla Celá čísla s pevnou řádovou čárkou Zobrazení reálných čísel Aritmetika s binárními čísly Počítačové systémy Zobrazení čísel v počítači Miroslav Flídr Počítačové systémy LS 2007-1/21- Západočeská univerzita v Plzni Vážený poziční kód Obecný předpis čísla vyjádřeného v pozičním systému: C =

Více

Numerická matematika 1

Numerická matematika 1 Numerická matematika 1 Obsah 1 Řešení nelineárních rovnic 3 1.1 Metoda půlení intervalu....................... 3 1.2 Metoda jednoduché iterace..................... 4 1.3 Newtonova metoda..........................

Více

Aritmetika s didaktikou I.

Aritmetika s didaktikou I. Katedra matematiky PF UJEP Aritmetika s didaktikou I. KM / Přednáška Struktury se dvěma binárními operacemi O čem budeme hovořit: opakování struktur s jednou operací struktury se dvěma operacemi Struktury

Více

Bakalářská matematika I

Bakalářská matematika I 1. Funkce Diferenciální počet Mgr. Jaroslav Drobek, Ph. D. Katedra matematiky a deskriptivní geometrie Bakalářská matematika I Některé užitečné pojmy Kartézský součin podrobnosti Definice 1.1 Nechť A,

Více

Lineární algebra : Lineární zobrazení

Lineární algebra : Lineární zobrazení Lineární algebra : Lineární zobrazení (6. přednáška František Štampach, Karel Klouda LS 2013/2014 vytvořeno: 20. května 2014, 22:40 1 2 6.1 Lineární zobrazení Definice 1. Buďte P a Q dva lineární prostory

Více

Data v počítači. Informační data. Logické hodnoty. Znakové hodnoty

Data v počítači. Informační data. Logické hodnoty. Znakové hodnoty Data v počítači Informační data (elementární datové typy) Logické hodnoty Znaky Čísla v pevné řádové čárce (celá čísla) v pohyblivé (plovoucí) řád. čárce (reálná čísla) Povelová data (instrukce programu)

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Učitelství 1. stupně ZŠ tématické plány předmětů matematika

Učitelství 1. stupně ZŠ tématické plány předmětů matematika Učitelství 1. stupně ZŠ tématické plány předmětů matematika Povinné předměty: Matematika I aritmetika (KMD/MATE1) 2 Matematika 3 aritmetika s didaktikou (KMD/MATE3) 3 Matematika 5 geometrie (KMD/MATE5)

Více

Matice. Předpokládejme, že A = (a ij ) je matice typu m n: diagonálou jsou rovny nule.

Matice. Předpokládejme, že A = (a ij ) je matice typu m n: diagonálou jsou rovny nule. Matice Definice. Maticí typu m n nazýváme obdélníkové pole, tvořené z m n reálných čísel (tzv. prvků matice), zapsaných v m řádcích a n sloupcích. Značíme např. A = (a ij ), kde i = 1,..., m, j = 1,...,

Více

Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto

Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto Registrační číslo projektu Šablona Autor Název materiálu CZ.1.07/1.5.00/34.0951 III/2 INOVACE A ZKVALITNĚNÍ VÝUKY PROSTŘEDNICTVÍM ICT Mgr. Jana

Více

O čem byl CHES a FDTC? Jan Krhovják Fakulta informatiky Masarykova univerzita v Brně

O čem byl CHES a FDTC? Jan Krhovják Fakulta informatiky Masarykova univerzita v Brně O čem byl CHES a FDTC? Jan Krhovják Fakulta informatiky Masarykova univerzita v Brně Hlavní témata workshopů Cryptographic Hardware and Embedded Systems Speciální hardware Efektivní hardware Nedostatek

Více

Návrh. číslicových obvodů

Návrh. číslicových obvodů Návrh číslicových obvodů SW Aritmetika HW Periférie CPU function AddSub(a,b,s); var c; a b k k a+b mpx c if (s==1) c=a+b; else c=a-b; a-b return c; End; PAMĚŤ s Princip: univerzální stroj Výhoda: univerzalita

Více

Okruhy, podokruhy, obor integrity, těleso, homomorfismus. 1. Rozhodněte, zda daná množina M je podokruhem okruhu (C, +, ): f) M = { a

Okruhy, podokruhy, obor integrity, těleso, homomorfismus. 1. Rozhodněte, zda daná množina M je podokruhem okruhu (C, +, ): f) M = { a Sbírka příkladů z okruhů a polynomů Algebra I Okruhy, podokruhy, obor integrity, těleso, homomorfismus 1. Rozhodněte, zda daná množina M je podokruhem okruhu (C, +, ): a) M = {a + i a R}, b) M = {a + i

Více

Polynomy. Mgr. Veronika Švandová a Mgr. Zdeněk Kříž, Ph. D. 1.1 Teorie Zavedení polynomů Operace s polynomy...

Polynomy. Mgr. Veronika Švandová a Mgr. Zdeněk Kříž, Ph. D. 1.1 Teorie Zavedení polynomů Operace s polynomy... Polynomy Obsah Mgr. Veronika Švandová a Mgr. Zdeněk Kříž, Ph. D. 1 Základní vlastnosti polynomů 2 1.1 Teorie........................................... 2 1.1.1 Zavedení polynomů................................

Více

Dynamické programování

Dynamické programování Dynamické programování prof. Ing. Pavel Tvrdík CSc. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze c Pavel Tvrdík, 2010 Efektivní algoritmy (BI-EFA)

Více

NP-ÚPLNÉ PROBLÉMY. Doc. RNDr. Josef Kolář, CSc. Katedra teoretické informatiky, FIT České vysoké učení technické v Praze

NP-ÚPLNÉ PROBLÉMY. Doc. RNDr. Josef Kolář, CSc. Katedra teoretické informatiky, FIT České vysoké učení technické v Praze NP-ÚPLNÉ PROBLÉMY Doc. RNDr. Josef Kolář, CSc. Katedra teoretické informatiky, FIT České vysoké učení technické v Praze BI-GRA, LS 2010/2011, Lekce 13 Evropský sociální fond Praha & EU: Investujeme do

Více

Hisab al-džebr val-muqabala ( Věda o redukci a vzájemném rušení ) Muhammada ibn Músá al-chvárizmího (790? - 850?, Chiva, Bagdád),

Hisab al-džebr val-muqabala ( Věda o redukci a vzájemném rušení ) Muhammada ibn Músá al-chvárizmího (790? - 850?, Chiva, Bagdád), 1 LINEÁRNÍ ALGEBRA 1 Lineární algebra Slovo ALGEBRA pochází z arabského al-jabr, což znamená nahrazení. Toto slovo se objevilo v názvu knihy islámského matematika Hisab al-džebr val-muqabala ( Věda o redukci

Více

Testování pamětí (Memory BIST)

Testování pamětí (Memory BIST) Testování pamětí (Memory BIST) Testování a spolehlivost ZS 2011/2012, 10. přednáška Ing. Petr Fišer, Ph.D. Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Evropský sociální fond

Více

Gymnázium Česká a Olympijských nadějí, České Budějovice, Česká 64, 37021

Gymnázium Česká a Olympijských nadějí, České Budějovice, Česká 64, 37021 Maturitní témata MATEMATIKA 1. Funkce a jejich základní vlastnosti. Definice funkce, def. obor a obor hodnot funkce, funkce sudá, lichá, monotónnost funkce, funkce omezená, lokální a globální extrémy funkce,

Více

. Bezpečnost mobilních telefonů. David Machač

. Bezpečnost mobilních telefonů. David Machač . Bezpečnost mobilních telefonů úvod do kryptologie... David Machač.. FJFI ČVUT v Praze David Machač (FJFI ČVUT v Praze) Bezpečnost mobilních telefonů 1 / 14 NMT Nordic Mobile Telephony, 1981 analogová

Více

Aritmetika s didaktikou I.

Aritmetika s didaktikou I. Katedra matematiky PF UJEP Aritmetika s didaktikou I. KM1 / 0001 Přednáška 10 Dělení se zbytkem O čem budeme hovořit: Binární operace dělení se zbytkem v N Struktury zbytkových tříd podle modulu Seznámíme

Více

KALKULÁTORY EXP LOCAL SIN

KALKULÁTORY EXP LOCAL SIN + = KALKULÁTORY 2014 201 C π EXP LOCAL SIN MU GT ŠKOLNÍ A VĚDECKÉ KALKULÁTORY 104 103 102 Hmotnost: 100 g 401 279 244 EXPONENT EXPONENT EXPONENT 142 mm 170 mm 1 mm 7 mm 0 mm 4 mm Výpočty zlomků Variace,

Více

13. Lineární programování

13. Lineární programování Jan Schmidt 2011 Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze Zimní semestr 2011/12 MI-PAA EVROPSKÝ SOCIÁLNÍ FOND PRAHA & EU: INVESTUJENE DO VAŠÍ BUDOUCNOSTI

Více

Základní pojmy teorie množin Vektorové prostory

Základní pojmy teorie množin Vektorové prostory Základní pojmy teorie množin Přednáška MATEMATIKA č. 1 Katedra ekonometrie FEM UO Brno kancelář 69a, tel. 973 442029 email:jiri.neubauer@unob.cz 7. 10. 2010 Základní pojmy teorie množin Základní pojmy

Více

Y36SAP. Osnova. Číselné soustavy a kódy, převody, aritmetické operace Y36SAP Poziční číselné soustavy a převody.

Y36SAP. Osnova. Číselné soustavy a kódy, převody, aritmetické operace Y36SAP Poziční číselné soustavy a převody. Y36SAP Číselné soustavy a kódy, převody, aritmetické operace Tomáš Brabec, Miroslav Skrbek - X36SKD-cvičení. Úpravy pro SAP Hana Kubátová Osnova Poziční číselné soustavy a převody Dvojková soust., převod

Více

Princip rozšíření a operace s fuzzy čísly

Princip rozšíření a operace s fuzzy čísly Center for Machine Perception presents Princip rozšíření a operace s fuzzy čísly Mirko Navara Center for Machine Perception Faculty of Electrical Engineering Czech Technical University Praha, Czech Republic

Více

TEMATICKÝ PLÁN. září říjen

TEMATICKÝ PLÁN. září říjen TEMATICKÝ PLÁN Předmět: MATEMATIKA Literatura: Matematika doc. RNDr. Oldřich Odvárko, DrSc., doc. RNDr. Jiří Kadleček, CSc Matematicko fyzikální tabulky pro základní školy UČIVO - ARITMETIKA: 1. Rozšířené

Více

Funkce a základní pojmy popisující jejich chování

Funkce a základní pojmy popisující jejich chování a základní pojmy ující jejich chování Pro zobrazení z reálných čísel do reálných čísel se používá termín reálná funkce reálné proměnné. 511 f bude v této části znamenat zobrazení nějaké neprázdné podmnožiny

Více

Matice. Modifikace matic eliminační metodou. α A = α a 2,1, α a 2,2,..., α a 2,n α a m,1, α a m,2,..., α a m,n

Matice. Modifikace matic eliminační metodou. α A = α a 2,1, α a 2,2,..., α a 2,n α a m,1, α a m,2,..., α a m,n [1] Základní pojmy [2] Matice mezi sebou sčítáme a násobíme konstantou (lineární prostor) měníme je na jiné matice eliminační metodou násobíme je mezi sebou... Matice je tabulka čísel s konečným počtem

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

Algoritmy I, složitost

Algoritmy I, složitost A0B36PRI - PROGRAMOVÁNÍ Algoritmy I, složitost České vysoké učení technické Fakulta elektrotechnická v 1.01 Rychlost... Jeden algoritmus (program, postup, metoda ) je rychlejší než druhý. Co ta věta znamená??

Více

Matice. Je dána matice A R m,n, pak máme zobrazení A : R n R m.

Matice. Je dána matice A R m,n, pak máme zobrazení A : R n R m. Matice lineárních zobrazení [1] Připomenutí Zobrazení A : L 1 L 2 je lineární, když A( x + y ) = A( x ) + A( y ), A(α x ) = α A( x ). Což je ekvivalentní s principem superpozice: A(α 1 x 1 + + α n x n

Více

MATURITNÍ OTÁZKY Z MATEMATIKY PRO ŠKOLNÍ ROK 2010/2011

MATURITNÍ OTÁZKY Z MATEMATIKY PRO ŠKOLNÍ ROK 2010/2011 MATURITNÍ OTÁZKY Z MATEMATIKY PRO ŠKOLNÍ ROK 2010/2011 1. Výroková logika a teorie množin Výrok, pravdivostní hodnota výroku, negace výroku; složené výroky(konjunkce, disjunkce, implikace, ekvivalence);

Více

Počet kreditů: 5 Forma studia: kombinovaná. Anotace: Předmět seznamuje se základy dělitelnosti, vybranými partiemi algebry, šifrování a kódování.

Počet kreditů: 5 Forma studia: kombinovaná. Anotace: Předmět seznamuje se základy dělitelnosti, vybranými partiemi algebry, šifrování a kódování. Název předmětu: Matematika pro informatiky Zkratka předmětu: MIE Počet kreditů: 5 Forma studia: kombinovaná Forma zkoušky: kombinovaná (písemná a ústní část) Anotace: Předmět seznamuje se základy dělitelnosti,

Více

Principy počítačů. Prof. RNDr. Peter Mikulecký, PhD.

Principy počítačů. Prof. RNDr. Peter Mikulecký, PhD. Principy počítačů Prof. RNDr. Peter Mikulecký, PhD. Číselné soustavy Obsah přednášky: Přednáška 3 Číselné soustavy a převody mezi nimi Kódy, přímý, inverzní a doplňkový kód Znakové sady Úvod Člověk se

Více

Míry podobnosti, základy fuzzy matematiky

Míry podobnosti, základy fuzzy matematiky Evropský sociální fond Investujeme do vaší budoucnosti Míry podobnosti, základy fuzzy matematiky Matematika pro informatiky, FIT ČVUT Martin Holeňa, 9. týden LS 2010/2011 O čem to bude? Přehled vzdáleností

Více

Číslicová filtrace. FIR filtry IIR filtry. ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická

Číslicová filtrace. FIR filtry IIR filtry. ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Číslicová filtrace FIR filtry IIR filtry Tyto materiály vznikly za podpory Fondu rozvoje

Více

Aritmetické operace a obvody pro jejich realizaci

Aritmetické operace a obvody pro jejich realizaci Kapitola 4 Aritmetické operace a obvody pro jejich realizaci 4.1 Polyadické číselné soustavy a jejich vlastnosti Polyadické soustavy jsou určeny přirozeným číslem z, kterému se říká základ nebo báze dané

Více

1 Mnohočleny a algebraické rovnice

1 Mnohočleny a algebraické rovnice 1 Mnohočleny a algebraické rovnice 1.1 Pojem mnohočlenu (polynomu) Připomeňme, že výrazům typu a 2 x 2 + a 1 x + a 0 říkáme kvadratický trojčlen, když a 2 0. Číslům a 0, a 1, a 2 říkáme koeficienty a písmenem

Více

Komerční výrobky pro kvantovou kryptografii

Komerční výrobky pro kvantovou kryptografii Cryptofest 05 Katedra počítačů, Fakulta elektrotechnická České vysoké učení technické v Praze 19. března 2005 O čem bude řeč Kryptografie Kryptografie se zejména snaží řešit: autorizovanost přístupu autenticitu

Více

ELIPTICKÉ KŘIVKY V KRYPTOGRAFII

ELIPTICKÉ KŘIVKY V KRYPTOGRAFII VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ ÚSTAV TELEKOMUNIKACÍ FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION DEPARTMENT OF TELECOMMUNICATIONS

Více

Přijímací zkoušky z matematiky pro akademický rok 2018/19 NMgr. studium Učitelství matematiky ZŠ, SŠ

Přijímací zkoušky z matematiky pro akademický rok 2018/19 NMgr. studium Učitelství matematiky ZŠ, SŠ Přijímací zkoušky z matematiky pro akademický rok 8/9 NMgr studium Učitelství matematiky ZŠ, SŠ Datum zkoušky: Varianta Registrační číslo uchazeče: Příklad 3 4 5 Celkem Body Ke každému příkladu uved te

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktura a architektura počítačů Aritmetické operace Pevná a pohyblivá řádová čárka České vysoké učení technické Fakulta elektrotechnická Ver..2 J. Zděnek 23 Aritmetické operace pevná řádová čárka Pevná

Více

0.1 Úvod do lineární algebry

0.1 Úvod do lineární algebry Matematika KMI/PMATE 1 01 Úvod do lineární algebry 011 Vektory Definice 011 Vektorem aritmetického prostorur n budeme rozumět uspořádanou n-tici reálných čísel x 1, x 2,, x n Definice 012 Definice sčítání

Více

označme j = (0, 1) a nazvěme tuto dvojici imaginární jednotkou. Potom libovolnou (x, y) = (x, 0) + (0, y) = (x, 0) + (0, 1)(y, 0) = x + jy,

označme j = (0, 1) a nazvěme tuto dvojici imaginární jednotkou. Potom libovolnou (x, y) = (x, 0) + (0, y) = (x, 0) + (0, 1)(y, 0) = x + jy, Komplexní čísla Množinu všech uspořádaných dvojic (x, y) reálných čísel x, y nazýváme množinou komplexních čísel C, jestliže pro každé dvě takové dvojice (x, y ), (x 2, y 2 ) je definována rovnost, sčítání

Více

Číselné soustavy v mikroprocesorové technice Mikroprocesorová technika a embedded systémy

Číselné soustavy v mikroprocesorové technice Mikroprocesorová technika a embedded systémy Ústav radioelektroniky Vysoké učení technické v Brně Číselné soustavy v mikroprocesorové technice Mikroprocesorová technika a embedded systémy Přednáška 8 doc. Ing. Tomáš Frýza, Ph.D. listopad 2012 Obsah

Více

- speciální symboly + - * / =., < > <> <= >= a další. Klíčová slova jsou chráněnými útvary, které nelze použít ve významu identifikátorů.

- speciální symboly + - * / =., < > <> <= >= a další. Klíčová slova jsou chráněnými útvary, které nelze použít ve významu identifikátorů. Základní symboly - písmena A B C Y Z a b c y z - číslice 0 1 2 9 - speciální symboly + - * / =., < > = a další - klíčová slova and array begin case const a další Klíčová slova jsou chráněnými útvary,

Více

4. Trojúhelníkový rozklad p. 1/20

4. Trojúhelníkový rozklad p. 1/20 4. Trojúhelníkový rozklad 4. Trojúhelníkový rozklad p. 1/20 4. Trojúhelníkový rozklad p. 2/20 Trojúhelníkový rozklad 1. Permutační matice 2. Trojúhelníkové matice 3. Trojúhelníkový (LU) rozklad 4. Výpočet

Více

Soustavy lineárních rovnic a determinanty

Soustavy lineárních rovnic a determinanty Soustavy lineárních rovnic a determinanty Petr Hasil Přednáška z matematiky Podpořeno projektem Průřezová inovace studijních programů Lesnické a dřevařské fakulty MENDELU v Brně (LDF) s ohledem na discipĺıny

Více

Státní závěrečná zkouška z oboru Matematika a její použití v přírodních vědách

Státní závěrečná zkouška z oboru Matematika a její použití v přírodních vědách Státní závěrečná zkouška z oboru Matematika a její použití v přírodních vědách Ústní zkouška z oboru Náročnost zkoušky je podtržena její ústní formou a komisionálním charakterem. Předmětem bakalářské zkoušky

Více