Kódy pro odstranění redundance, pro zabezpečení proti chybám. Demonstrační cvičení 5 INP
|
|
- Alena Štěpánková
- před 9 lety
- Počet zobrazení:
Transkript
1 Kódy pro odstranění redundance, pro zabezpečení proti chybám Demonstrační cvičení 5 INP
2 Princip kódování, pojmy Tady potřebujeme informaci zabezpečit, utajit apod. zpráva kodér dekodér Kódová slova zdrojová abeceda (abeceda zprávy) = {0, 1} kódová abeceda (abeceda kódových slov) = {0, 1} slovo nad danou abecedou 0, 1 (ve zdrojové) 000, 111 (v kódové); pozn. 001, 010, 011, 100, 101, 110 nejsou kódová slova kódovací předpis (např , v kódu ztrojení bitů)
3 O kódování Obecně je kódování předpis, který každému prvku konečné množiny A přiřazuje právě jedno slovo z konečné množiny B. Stručněji, kódování je zobrazení K: A B*. Pojem slova v množině B znamená konečnou (a neprázdnou posloupnost) prvků této množiny. Množinu všech kódových slov označujeme B*. Množina A se nazývá zdrojová abeceda a její prvky zdrojové znaky, množina B se nazývá kódová abeceda a její prvky kódové znaky. Nejdůležitější je případ binárního kódování, které má dva kódové znaky, B={0, 1}. Množinu všech kódových slov K(a) pro všechna a A nazýváme kód. Význam mají jen prostá kódování, tj. taková, kdy různým zdrojovým znakům odpovídají vždy různá kódová slova. Prefixem slova b 1 b 2 b k se rozumí každé ze slov b 1, b 1 b 2,, b 1 b 2 b k. Kódování se nazývá prefixové, jestliže je prosté a žádné kódové slovo není prefixem jiného kódového slova. Prefixové kódování je vždy jednoznačně dekódovatelné: pokud známe zprávu K*(a 1 a 2 a m ), potom v ní najdeme nejmenší počet znaků (zleva), které tvoří kódové slovo, a ty jsou kódem znaku a 1. Dekódované znaky umažeme a zase hledáme nejmenší počet znaků tvořících kódové slovo, to je kód znaku a 2, atd. Příklad: prefixový kód 01 neprefixový kód Nejkratším n-znakovým kódováním zdrojové abecedy a 1, a 2,, a r s pravděpodobnostmi výskytu p 1, p 2,, p r se rozumí prefixové kódování této abecedy pomocí n znaků, které má nejmenší možnou průměrnou délku slova. Nejkratší kód lze zkonstruovat pomocí Huffmanova algoritmu.
4 Typy kódů (podle účelu) Pro odstranění redundance Př. Huffmanovo kódování Př. aplikace: komprese obrazu (JPG=kosinová transformace + Huffmanovo kódování, ztrátová komprese; GIF LZW bezeztrátová komprese) Pro zabezpečení proti chybě parita, ztrojení bitu Hammingův kód cyklické kódy, CRC, a další Pro utajení - šifrování princip: zpráva xor klíč = zakódovaná zpráva DES (symetrické šifrování) RSA (asymetrické šifrování)
5 Huffmanovo kódování (pro odstranění redundance) Lze sestrojit nejkratší možný kód Potřebujeme znát četnosti výskytu jednotlivých kódovaných symbolů Uděláme statistickou analýzu, popř. odhadneme Huffmanův kód - prefixový V INP např. pro instrukce (krátké značky pro nejčastější instrukce, delší pro méně časté) Příklad: Pomocí Huffmanova kódování zakódujte znaky 0-9 vyskytující se s uvedenou četností: Znak: Četnost: Poznámka: bez použití Huffmanova kódování potřebujeme 4 bity na znak a to chceme vylepšit.
6 Algoritmus: (1) sestavíme ohodnocený strom Spojujeme uzly s nejnižším ohodnocením Každým spojením redukujeme počet uzlů o jeden Takto postupujeme až k jedinému uzlu s ohodnocením 1 znak četnost
7 Algoritmus: (2) uzly systematicky očíslujeme 0 k horním hranám, 1 ke spodním hranám Cestou od vrcholu ke znakům vytvoříme kód kód znak četnost Pokud je číslování systematické, je kód prefixový, tj začátek každé značky je unikátní.
8 Příklad: dekódujte posloupnosti kód znak četnost Dekódujte tuto posloupnost 20 bitů: Jedná se o 8 znaků. Při použití běžného kódování by bylo třeba 8x4=32bitů! (úspora) Posloupnost 9999 představuje v tomto Huffmanově kódu 4x5=20 jedniček (bitů). Při použití běžného kódování by bylo třeba jen 4x4=16 bitů. Výskyt této posloupnosti je však málo pravděpodobný.
9 Vlastnosti kódu kód znak L i f i průměrná délka značky: L p = ΣL i /n = 3.7 (i=0 9) střední dynamická délka značky: L stř = ΣL i *f i = 2* *0.04 = 3.04 teoretická optimální délka značky: L opt = -Σ f i * log 2 f i = 3.01 Redundance kódu: R = (L stř -L opt )/L stř = 0.98% Pozn.: log 2 x = log 10 x / log 10 2
10 Kódy pro zabezpečení a opravu Př. Na 8 bitech zprávy (256 inf. kombin.) nelze detekovat chybu. Pokud chceme umět zjišťovat chyby a opravovat je, musíme přidat nějakou redundanci!! Tj., k informačním bitům zprávy vhodně doplníme nějaké bity kontrolní. Separabilní kód - lze oddělit kontrolní a informační bity Na tomto cvičení: parita (redundance 1 bit, počet zabezpečených kombinací je 128, na 8 bitech), ztrojení bitu, Hammingův kód. Cyklické kódy a další - viz speciální kurz na FIT
11 Def: Hammingova vzdálenost d Nejmenší počet bitů, v nichž se dvojice kódových kombinací liší (počítáno přes všechny možné dvojice) kód d bez zabezpečení 1 SED 2 SEC 3 SEC - DED 4 DEC 5 S/D = simple/double E = error D/C = detection/correction
12 Příklad SED - sudá parita d=2 vzniká doplněním jednoho bitu ke značce tak, aby počet jedniček byl sudý odhalí chybu v jednom bitu, ale nedokáže určit její pozici (tj. opravit) Příklad: 8b informačních, 1b kontrolní (zabezpečovací) při chybě => lichý počet 1 => chyba při chybě => sudý počet 1 => hlásí, že je vše OK, nepozná chybu
13 Příklad SEC - ztrojení bitu d=3 vzniká ztrojením každého bitu: 0 000, při výskytu jedné chyby v trojici dokáže odvodit původní hodnotu z majority Kódové kombinace: 000, 111 Nekódové kombinace: 001, 010, 011, 100, 101, 110 Opravy: (001, 010, 100) 0, (011, 101, 110) 1 Příklad: Zpráva: Zakódováno: Při chybě: se správně opraví na Při chybě: se chybně opraví na , tj. nedokáže detekovat dvojchybu
14 Příklad SEC - Hammingův kód (HK) d = 3 kódové slovo obsahuje navíc kontrolní bity, jejichž umístění je dáno pozicí (indexem i) bitu ve slově pokud je i mocnina 2, je bit kontrolní (C) - jinak je to bit informační (I) kontrolní bity jsou tvořeny pomocí funkce XOR z informačních bitů minimální možná redundance pro SEC Hammingův kód je separovatelný
15 HK (n, k) n délka kódového slova (v bitech) k počet informačních bitů m počet kontrolních bitů n = 2 m 1 n = m + k HK(7, 4), HK(15, 11) apod. pro větší n je poměr k/n příznivější
16 HK(7,4) Jak určit informační a kontrolní bity? i binárně Generující matice I7 I6 I5 C4 I3 C2 C C4 C2 C1 i Pokud je i mocnina 2, je bit kontrolní (C) - jinak je to bit informační (I)
17 Hammingův kód (7,4) - kodér I(0) I(1) I(2) I(3) C(1) C(2) I(3) C(4) I(5) I(6) I(7) Generující rovnice (pro výpočet kontrolních bitů): C 1 = I 3 xor I 5 xor I 7 C 2 = I 3 xor I 6 xor I 7 C 4 = I 5 xor I 6 xor I I7 I6 I5 C4 I3 C2 C i C4 C2 C1
18 Příklad Zakódujte v HK(7,4): 1001 Kódové slovo: I 7 I 6 I 5 C 4 I 3 C 2 C 1 I 3 = 1, I 5 = 0, I 6 = 0, I 7 = 1 C 1 = I 3 xor I 5 xor I 7 = 1 xor 0 xor 1 = 0 C 2 = I 3 xor I 6 xor I 7 = 1 xor 0 xor 1 = 0 C 4 = I 5 xor I 6 xor I 7 = 0 xor 0 xor 1 =
19 Hammingův kód (7,4) kodér ve VHDL library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity enchk4to7 is port ( input: in STD_LOGIC_VECTOR (3 downto 0); -- i7 i6 i5 i3 output: out STD_LOGIC_VECTOR (7 downto 1) -- i7 i6 i5 c4 i3 c2 c1 ); end enchk4to7; architecture enchk4to7 of enchk4to7 is begin output(1) <= input(0) xor input(1) xor input(3); -- c1 = i3 xor i5 xor i7 output(2) <= input(0) xor input(2) xor input(3); -- c2 = i3 xor i6 xor i7 output(3) <= input(0); -- i3 = i3 output(4) <= input(1) xor input(2) xor input(3); -- c4 = i5 xor i6 xor i7 output(5) <= input(1); -- i5 = i5 output(6) <= input(2); -- i6 = i6 output(7) <= input(3); -- i7 = i7 end enchk4to7;
20 HK (7,4) - dekodér a oprava chyby Možné poškození I 7 I 6 I 5 I 3 I 7 I 6 I 5 C 4 I 3 C 2 C 1 I 7 I 6 I 5 C 4 I 3 C 2 C 1 I 7 I 6 I 5 I 3 S je syndrom určující pozici chyby ve slově: I 7 I 6 I 5 C 4 I 3 C 2 C 1 C 1 xor C 1 = C 1 xor I 3 xor I 5 xor I 7 C 2 xor C 2 = C 2 xor I 3 xor I 6 xor I 7 C 4 xor C 4 = C 4 xor I 5 xor I 6 xor I 7 S 1 = C 1 xor I 3 xor I 5 xor I 7 S 2 = C 2 xor I 3 xor I 6 xor I 7 S 4 = C 4 xor I 5 xor I 6 xor I 7 S 1 0 S 2 1 S 4 2 DC Vše OK I3 xor I5 xor I6 xor I7 xor I3 I5 I6 I7
21 Příklad Bylo zakódováno: Dekódujte a opravte: (a) Přijaté slovo: S 1 = C 1 xor I 3 xor I 5 xor I 7 = 0 xor 1 xor 0 xor 1 = 0 S 2 = C 2 xor I 3 xor I 6 xor I 7 = 0 xor 1 xor 0 xor 1 = 0 S 4 = C 4 xor I 5 xor I 6 xor I 7 = 1 xor 0 xor 0 xor 1 = 0 S = 000 => nedošlo k chybě (b) Přijaté slovo: S 1 = C 1 xor I 3 xor I 5 xor I 7 = 0 xor 0 xor 0 xor 1 = 1 S 2 = C 2 xor I 3 xor I 6 xor I 7 = 0 xor 0 xor 0 xor 1 = 1 S 4 = C 4 xor I 5 xor I 6 xor I 7 = 1 xor 0 xor 0 xor 1 = 0 S = 011 = 3 > došlo k chybě na pozici 3, po opravě Co se stane při dvojchybě?
22 HK(7,4) dekodér ve VHDL entita DEC3TO8 library IEEE; use IEEE.std_logic_1164.all; entity dec3to8 is port ( addr: in STD_LOGIC_VECTOR (2 downto 0); err: out STD_LOGIC_VECTOR (7 downto 0) ); end dec3to8; architecture dec3to8 of dec3to8 is begin with addr select err <= " " when "111", " " when "110", " " when "101", " " when "100", " " when "011", " " when "010", " " when "001", " " when others; end dec3to8;
23 HK (7,4) dekodér - VHDL (1) library IEEE; use IEEE.std_logic_1164.all; entity dechk7to4 is port ( input: in STD_LOGIC_VECTOR (7 downto 1); -- vstupni data correct: out STD_LOGIC_VECTOR (3 downto 0) -- opraveny vystup ); end dechk7to4; architecture dechk7to4 of dechk7to4 is component dec3to8 port ( addr: in STD_LOGIC_VECTOR (2 downto 0); err: out STD_LOGIC_VECTOR (7 downto 0) ); end component; signal s : STD_LOGIC_VECTOR (2 downto 0); -- syndrom signal fromdc : STD_LOGIC_VECTOR (7 downto 0); -- vystup dekoderu
24 HK (7,4) dekodér -VHDL (2) begin -- s1 = c1 xor i3 xor i5 xor i7 s(0) <= input(1) xor input(3) xor input(5) xor input(7); -- s2 = c2 xor i3 xor i6 xor i7 s(1) <= input(2) xor input(3) xor input(6) xor input(7); -- s4 = c4 xor i5 xor i6 xor i7 s(2) <= input(4) xor input(5) xor input(6) xor input(7); DEC: dec3to8 port map ( addr => s, -- syndrom pripojime na adresove vstupy dekoderu err => fromdc -- vystup dekoderu ); correct(0) <= fromdc(3) xor input(3); -- oprav i3 correct(1) <= fromdc(5) xor input(5); -- oprav i5 correct(2) <= fromdc(6) xor input(6); -- oprav i6 correct(3) <= fromdc(7) xor input(7); -- oprav i7 end dechk7to4;
25 Rozšířený Hammingův kód (8,4) Stejný jako (7,4), ale je přidán jeden paritní bit pro všech 7 bitů. SEC DED lze detekovat dvojchyby d = 4
26 Rozšířený HK (8,4) - kodér I7 I6 I5 C4 I3 C2 C1 C C0 C4 C2 C1 Definujeme kontrolní bit: C 0 = C 1 xor C 2 xor I 3 xor C 4 xor I 5 xor I 6 xor I 7
27 Rozšířený HK (8,4) - dekodér Definujeme: S 0 = C 0 xor C 1 xor C 2 xor I 3 xor C 4 xor I 5 xor I 6 xor I 7 S 1 S 2 S 4 vypočteme stejně jako v HK(7,4) Definujeme syndrom chyby: S = S 1 or S or S 2 4 (detekce nenuloveho syndromu) S 1 = C 1 xor I 3 xor I 5 xor I 7 S 2 = C 2 xor I 3 xor I 6 xor I 7 S 4 = C 4 xor I 5 xor I 6 xor I 7 Chyby klasifikujeme podle tabulky: S S 0 Význam 0 0 Bez chyby 0 1 Neopravitelná chyba (porucha hlídače, vícenásobná chyba) 1 0 Neopravitelná 2-chyba, 4-chyba, atd. 1 1 Opravitelná 1-chyba
28 Kód zbytkových tříd (KZT) Převedeme binárníčísla do KZT a tam budeme provádět aritmetické operace. Proč? Protože tyto operace lze v KZT implementovat velmi jednoduše bez přenosů, tj. budou rychlejší než konvenční. Operace: sčítání, odčítání a násobení Nefunguje dělení (nejednoznačný výsledek), jednoduché porovnání Nepolyadická soustava: soustava modulů (prvočísel) c i = (a i op b i ) mod m i
29 m 1 m 2 m 3 No Opakuje se 30 = 2*3*5 Zakódování čísel a aritmetické operace (3) (4) bez přenosu! (6) (-5) (4) *1 2 0 (5) (15) /1 2 0 (5)??? c i = (a i + b i ) mod m i c i = (a i - b i ) mod m i c i = (a i * b i ) mod m i c i (a i / b i ) mod m i
30 m 1 m 2 m 3 No Opakuje se 30 = 2*3*5 Obvodová realizace: sčítačka Řád 2 Řád 3 Řád 5 Řád 2 Řád 3 Řád 5 A B + A 2 B 2 C =1 C Řád 2 Řád 3 Řád 5 Řád 2: XOR C 2 = A 2 XOR B 2
31 m 1 m 2 m 3 No Opakuje se 30 = 2*3*5 Obvodová realizace: sčítačka (2) A 3 B 3 C Řád 2 Řád 3 Řád 5 Řád 2 Řád 3 Řád 5 A 3 B 3 C 3 ab cd uv A B + C Řád 3: Řád 2 Řád 3 Řád 5 u = f1(a,b,c,d) v = f2(a,b,c,d) Řád 5: obdobně jako řád 3 -,* obdobně jako +
32 library IEEE; use IEEE.std_logic_1164.all; entity KZT is port ( -- rad 2 A1 : in std_logic; B1 : in std_logic; C1 : out std_logic; -- rad 3 A2 : in std_logic_vector(1 downto 0); B2 : in std_logic_vector(1 downto 0); C2 : out std_logic_vector(1 downto 0); -- rad 5 A3 : in std_logic_vector(2 downto 0); B3 : in std_logic_vector(2 downto 0); C3 : out std_logic_vector(2 downto 0)); end KZT; Sčítačka KZT(5,3,2) ve VHDL architecture A1 of KZT is begin C1 <= A1 xor B1; C2(0) <= (not A2(1) and not A2(0) and not B2(1) and B2(0)) or (not A2(1) and A2(0) and not B2(1) and not B2(0)) or (A2(1) and not A2(0) and B2(1) and not B2(0)); C2(1) <= (not A2(1) and not A2(0) and B2(1) and not B2(0)) or (not A2(1) and A2(0) and not B2(1) and B2(0)) or (A2(1) and not A2(0) and not B2(1) and not B2(0)); -- zde bude implementace radu 5 end A1;
33 ALU v kódu zbytkových tříd A bin2kzt B bin2kzt ALU KZT kzt2bin C Vyplatí se to? selektor funkce (+,-,*)
34 Literatura Drábek, V.: Výstavba počítačů, skriptum VUT v Brně, 1995.
Kódy pro detekci a opravu chyb. INP 2008 FIT VUT v Brně
Kódy pro detekci a opravu chyb INP 2008 FIT VUT v Brně 1 Princip kódování 0 1 0 vstupní data kodér Tady potřebujeme informaci zabezpečit, utajit apod. Zakódovaná data: 000 111 000 Může dojít k poruše,
VícePříklady popisu základních obvodů ve VHDL
Příklady popisu základních obvodů ve VHDL INP - cvičení 2 Michal Bidlo, 2008 bidlom@fit.vutbr.cz entity Circuit is port ( -- rozhraní obvodu ); end Circuit; Proces architecture Behavioral of Circuit is
VíceSčítačky Válcový posouvač. Demonstrační cvičení 6
Sčítačky Válcový posouvač INP Demonstrační cvičení 6 Poloviční sčítačka (Half Adder) A B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 A B HA S C S: A C: A 0 1 0 0 1 0 B 0 1 B S
VíceDigitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.
Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý
VíceKódováni dat. Kódy používané pro strojové operace
Kódováni dat Před zpracováním dat například v počítači je třeba znaky převést do tvaru, kterému počítač rozumí, tj. přiřadit jim určité kombinace bitů. Tomuto převodu se říká kódování. Kód je předpis pro
VíceKódy a kódování dat. Binární (dvojkové) kódy. Kód Aikenův
Kódy a kódování dat Kódování je proces, při kterém se každému znaku nebo postupnosti znaků daného souboru znaků jednoznačně přiřadí znak nebo postupnost znaků z jiného souboru znaků. Kódování je tedy transformace
VíceNásobičky, Boothovo překódování. Demonstrační cvičení 7
Násobičky, Boothovo překódování INP Demonstrační cvičení 7 Obsah Princip násobení Sekvenční a kombinační násobička Kombinační násobičky ve VHDL Násobení se znaménkem (FX) Boothovo překódování, VHDL Násobení
Více[1] samoopravné kódy: terminologie, princip
[1] Úvod do kódování samoopravné kódy: terminologie, princip blokové lineární kódy Hammingův kód Samoopravné kódy, k čemu to je [2] Data jsou uložena (nebo posílána do linky) kodérem podle určitého pravidla
VíceInformatika Kódování. Obsah. Kód. Radim Farana Podklady předmětu Informatika pro akademický rok 2007/2008
Informatika Kódování Radim Farana Podklady předmětu Informatika pro akademický rok 27/28 Obsah Základy pojmy diskrétních kódů. Druhy kódů. Nejkratší kódy. Detekce chyb, Hammingova vdálenost. Kontrolní
VíceKoncept pokročilého návrhu ve VHDL. INP - cvičení 2
Koncept pokročilého návrhu ve VHDL INP - cvičení 2 architecture behv of Cnt is process (CLK,RST,CE) variable value: std_logic_vector(3 downto 0 if (RST = '1') then value := (others => '0' elsif (CLK'event
VíceDigitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.
Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Realizace kombinačních logických funkcí Realizace kombinační logické funkce = sestavení zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné
VíceInformace, kódování a redundance
Informace, kódování a redundance INFORMACE = fakt nebo poznatek, který snižuje neurčitost našeho poznání (entropii) DATA (jednotné číslo ÚDAJ) = kódovaná zpráva INFORAMCE = DATA + jejich INTERPRETACE (jak
Víceuvedení do problematiky i Bezpečnostní kódy: detekční kódy = kódy zjišťující chyby samoopravné kódy = kódy opravující chyby příklady kódů:
I. Bezpečnostníkódy úvod základní pojmy počet zjistitelných a opravitelných chyb 2prvkové těleso a lineární prostor jednoduché bezpečnostní kódy lineární kódy Hammingův kód smysluplnost bezpečnostních
VíceNávrh. číslicových obvodů
Návrh číslicových obvodů SW Aritmetika HW Periférie CPU function AddSub(a,b,s); var c; a b k k a+b mpx c if (s==1) c=a+b; else c=a-b; a-b return c; End; PAMĚŤ s Princip: univerzální stroj Výhoda: univerzalita
VíceKódování signálu. Problémy při návrhu linkové úrovně. Úvod do počítačových sítí. Linková úroveň
Kódování signálu Obecné schema Kódování NRZ (bez návratu k nule) NRZ L NRZ S, NRZ - M Kódování RZ (s návratem k nule) Kódování dvojí fází Manchester (přímý, nepřímý) Diferenciální Manchester 25.10.2006
Více[1] samoopravné kódy: terminologie, princip
[1] Úvod do kódování samoopravné kódy: terminologie, princip blokové lineární kódy Hammingův kód cyklické kódy a) kody, 18, b) P. Olšák, FEL ČVUT, c) P. Olšák 2010, d) BI-LIN, e) L, f) 2009/2010, g)l.
VíceÚvod do teorie informace
PEF MZLU v Brně 24. září 2007 Úvod Výměna informací s okolím nám umožňuje udržovat vlastní existenci. Proces zpracování informací je trvalý, nepřetržitý, ale ovlivnitelný. Zabezpečení informací je spojeno
VícePočet kreditů: 5 Forma studia: kombinovaná. Anotace: Předmět seznamuje se základy dělitelnosti, vybranými partiemi algebry, šifrování a kódování.
Název předmětu: Matematika pro informatiky Zkratka předmětu: MIE Počet kreditů: 5 Forma studia: kombinovaná Forma zkoušky: kombinovaná (písemná a ústní část) Anotace: Předmět seznamuje se základy dělitelnosti,
VíceSamoopravné kódy, k čemu to je
Úvod do kódování samoopravné kódy: terminologie, princip blokové lineární kódy Hammingův kód cyklické kódy [1] Samoopravné kódy, k čemu to je BI-LIN, kody, 18, P. Olšák [2] Data jsou uložena (nebo posílána
VíceHammingův kód. Vladislav Kosejk. České vysoké učení technické v Praze Fakulta jaderná a fyzikálně inženýrská Detašované pracoviště Děčín
Hammingův kód Vladislav Kosejk České vysoké učení technické v Praze Fakulta jaderná a fyzikálně inženýrská Detašované pracoviště Děčín Obsah prezentace Hammingův kód 1 Algoritmus Hammingova kódu 2 Generující
VíceDSY-6. Přenosový kanál kódy pro zabezpečení dat Základy šifrování, autentizace Digitální podpis Základy měření kvality přenosu signálu
DSY-6 Přenosový kanál kódy pro zabezpečení dat Základy šifrování, autentizace Digitální podpis Základy měření kvality přenosu signálu Kódové zabezpečení přenosu dat Popis přiřazení kódových slov jednotlivým
VíceSouhrn Apendixu A doporučení VHDL
Fakulta elektrotechniky a informatiky Univerzita Pardubice Souhrn Apendixu A doporučení VHDL Práce ke zkoušce z předmětu Programovatelné logické obvody Jméno: Jiří Paar Datum: 17. 2. 2010 Poznámka k jazyku
VíceKomprese dat (Komprimace dat)
Komprese dat (Komprimace dat) Př.: zakódovat slovo ARARAUNA K K 2 četnost absolutní relativní A 4,5 N,25 R 2,25 U,25 kód K : kód K 2 :... 6 bitů... 4 bitů prefixový kód: žádné kódové slovo není prefixem
VíceInformace v počítači. Výpočetní technika I. Ing. Pavel Haluza ústav informatiky PEF MENDELU v Brně haluza@mendelu.cz
.. Informace v počítači Ing. Pavel Haluza ústav informatiky PEF MENDELU v Brně haluza@mendelu.cz Osnova přednášky Úvod do teorie informace základní pojmy měření množství informace ve zprávě přenos a kódování
VíceOdpřednesenou látku naleznete v kapitole 3.3 skript Diskrétní matematika.
Lineární kódy, část 2 Odpřednesenou látku naleznete v kapitole 3.3 skript Diskrétní matematika. Jiří Velebil: A7B01LAG 22.12.2014: Lineární kódy, část 2 1/12 Dnešní přednáška 1 Analýza Hammingova (7, 4)-kódu.
VícePSK2-5. Kanálové kódování. Chyby
PSK2-5 Název školy: Autor: Anotace: Vzdělávací oblast: Předmět: Tematická oblast: Výsledky vzdělávání: Klíčová slova: Druh učebního materiálu: Typ vzdělávání: Ověřeno: Zdroj: Vyšší odborná škola a Střední
Vícehttp://bruxy.regnet.cz/fel/ Hammingův kód Binární kód se nazývá Hammingův, jestliže má kontrolní matici, jejíž sloupce jsou všechna nenulová slova dané délky n k = r a žádné z nich se neopakuje. Jedná
VíceZpůsoby realizace této funkce:
KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je výstup určen jen výhradně kombinací vstupních veličin. Hodnoty výstupních veličin nezávisejí na předcházejícím stavu logického obvodu, což znamená, že kombinační
VíceKódování Obsah. Reedovy-Solomonovy kódy. Radim Farana Podklady pro výuku. Cyklické kódy.
.9.4 Kódování Radim Farana Podklady pro výuku Obsah Cyklické kódy. Reedovy-Solomonovy kódy Reedovy-Solomonovy kódy Byly vytvořeny v roce 96 v Lincolnově laboratoři na Massachusetts Institute of echnology.
VíceKomprese dat. Jan Outrata KATEDRA INFORMATIKY UNIVERZITA PALACKÉHO V OLOMOUCI. přednášky
Komprese dat Jan Outrata KATEDRA INFORMATIKY UNIVERZITA PALACKÉHO V OLOMOUCI přednášky Statistické metody Jan Outrata (Univerzita Palackého v Olomouci) Komprese dat Olomouc, únor březen 2016 1 / 23 Tunstallův
VíceOsnova přednášky. Informace v počítači. Interpretace dat. Údaje, data. Úvod do teorie informace. Výpočetní technika I. Ochrana dat
Osnova přednášky 2/44 Informace v počítači Ing Pavel Haluza ústav informatiky PEF MENDELU v Brně haluza@mendelucz základní pojmy měření množství informace ve zprávě přenos a kódování dat parita kontrolní
VíceImplementace čítačů v číslicových systémech 2 Jakub Šťastný ASICentrum, s.r.o. FPGA Laboratoř, Katedra teorie obvodů FEL ČVUT Praha
Tento článek je původním rukopisem textu publikovaného v časopise DPS Elektronika A-Z: J. Šťastný. Implementace čítačů v číslicových systémech 2, DPS Plošné spoje od A do Z, no 4, pp. 11-14, 2011. Bez
VíceReprezentace dat. INP 2008 FIT VUT v Brně
Reprezentace dat INP 2008 FIT VUT v Brně Pojem kód a typy kódů Definice: Kód je vzájemně jednoznačné přiřazení mezi symboly dvou množin. (Tedy tabulka.) Přehled kódů pro reprezentaci dat: Data můžeme rozdělit
Více1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/).
Zadání: Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Technika personálních počítačů, cvičení ITP FITkit Řízení 7mi-segmentového displeje Úloha č. 3. 1. Seznamte se s výukovou
VíceÚvod do jazyka VHDL. Jan Kořenek korenek@fit.vutbr.cz. Návrh číslicových systémů 2007-2008
Úvod do jazyka VHDL Návrh číslicových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Jak popsat číslicový obvod Slovně Navrhněte (číslicový) obvod, který spočte sumu všech členů dané posloupnosti slovní
VíceMatematika IV 10. týden Kódování
Matematika IV 10. týden Kódování Jan Slovák Masarykova univerzita Fakulta informatiky 22. 26. 4. 2013 Obsah přednášky 1 (n, k) kódy 2 Polynomiální kódy 3 Lineární kódy Kde je dobré číst? připravovaná učebnice
VíceMatematické základy šifrování a kódování
Matematické základy šifrování a kódování Permutace Pojem permutace patří mezi základní pojmy a nachází uplatnění v mnoha oblastech, např. kombinatorice, algebře apod. Definice Nechť je n-prvková množina.
VíceTeorie informace a kódování (KMI/TIK) Reed-Mullerovy kódy
Teorie informace a kódování (KMI/TIK) Reed-Mullerovy kódy Lukáš Havrlant Univerzita Palackého 10. ledna 2014 Primární zdroj Jiří Adámek: Foundations of Coding. Strany 137 160. Na webu ke stažení, heslo:
Více1 Co jsou lineární kódy
1 Žádný záznam informace a žádný přenos dat není absolutně odolný vůči chybám. Někdy je riziko poškození zanedbatelné, v mnoha případech je však zaznamenaná a přenášená informace jištěna přidáním dat,
VíceData v počítači. Informační data. Logické hodnoty. Znakové hodnoty
Data v počítači Informační data (elementární datové typy) Logické hodnoty Znaky Čísla v pevné řádové čárce (celá čísla) v pohyblivé (plovoucí) řád. čárce (reálná čísla) Povelová data (instrukce programu)
VíceBCH kódy. Alena Gollová, TIK BCH kódy 1/27
7. přednáška z algebraického kódování Alena Gollová, TIK 1/27 Obsah 1 Binární Alena Gollová, TIK 2/27 Binární jsou cyklické kódy zadané svými generujícími kořeny. Díky šikovné volbě kořenů opravuje kód
VíceAlgoritmy komprese dat
Algoritmy komprese dat Úvod do teorie informace Claude Shannon (1916 2001) 5.11.2014 NSWI072-7 Teorie informace Informace Co je to informace? Můžeme informaci měřit? Existují teoretické meze pro délku
VíceVYSOKÉ UČENÍ TECHNICKÉ V BRNĚ
VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION ÚSTAV MIKROELEKTRONIKY DEPARTMENT OF
Více3. Aritmetika nad F p a F 2
3. Aritmetika nad F p a F 2 m Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze c Martin Novotný, 2011 MI-BHW Bezpečnost a technické
Více1. Převeďte dané číslo do dvojkové, osmičkové a šestnáctkové soustavy: a) 759 10 b) 2578 10
Úlohy- 2.cvičení 1. Převeďte dané číslo do dvojkové, osmičkové a šestnáctkové soustavy: a) 759 10 b) 2578 10 2. Převeďte dané desetinné číslo do dvojkové soustavy (DEC -> BIN): a) 0,8125 10 b) 0,35 10
VíceHammingovy kódy. dekódování H.kódů. konstrukce. šifrování. Fanova rovina charakteristický vektor. princip generující a prověrková matice
Hammingovy kódy konstrukce Fanova rovina charakteristický vektor šifrování princip generující a prověrková matice dekódování H.kódů třída lineárních binárních kódů s A n, 3 n = délka kódu, d = distance
VíceAplikovaná informatika. Podklady předmětu Aplikovaná informatika pro akademický rok 2013/2014 Radim Farana. Obsah. Kybernetika
2 Podklady předmětu pro akademický rok 2013/2014 Radim Farana Obsah Základní pojmy z Teorie informace, jednotka informace, informační obsah zprávy, střední délka zprávy, redundance. Přenosový řetězec.
VíceGenerující kořeny cyklických kódů. Generující kořeny. Alena Gollová, TIK Generující kořeny 1/30
Generující kořeny cyklických kódů 6. přednáška z algebraického kódování Alena Gollová, TIK Generující kořeny 1/30 Obsah 1 Alena Gollová, TIK Generující kořeny 2/30 Hammingovy kódy Hammingovy kódy jsou
VíceZpracování informací
Ústav automatizace a informatiky Fakulta strojního inženýrství Vysoké učení technické v Brně Cvičení č. 2 z předmětu Zpracování informací Ing. Radek Poliščuk, Ph.D. 1/9 Téma cvičení Cvičení 2 Přenos dat
VíceKOMPRESE OBRAZŮ. Václav Hlaváč, Jan Kybic. Fakulta elektrotechnická ČVUT v Praze katedra kybernetiky, Centrum strojového vnímání.
1/25 KOMPRESE OBRAZŮ Václav Hlaváč, Jan Kybic Fakulta elektrotechnická ČVUT v Praze katedra kybernetiky, Centrum strojového vnímání hlavac@fel.cvut.cz http://cmp.felk.cvut.cz/ hlavac KOMPRESE OBRAZŮ, ÚVOD
VíceVzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE
Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí
VíceKOMBINAČNÍ LOGICKÉ OBVODY
Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je vstup určen jen výhradně kombinací vstupních veličin. Hodnoty
VíceTechnická kybernetika. Obsah. Principy zobrazení, sběru a uchování dat. Měřicí řetězec. Principy zobrazení, sběru a uchování dat
Akademický rok 2016/2017 Připravil: Radim Farana Technická kybernetika Principy zobrazení, sběru a uchování dat 2 Obsah Principy zobrazení, sběru a uchování dat strana 3 Snímač Měřicí řetězec Měřicí obvod
VíceVzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:
Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí
VíceŠifrování, kódování a jejich aplikace - ak. rok 2016/17
Šifrování, kódování a jejich aplikace - ak. rok 2016/17 (zkratka předmětu: KAP/SKA, počet kreditů: 6) Předmět je zakončen zkouškou, které musí předcházet získání zápočtu. Podmínky pro získání zápočtu a
VíceKomprese dat. Jan Outrata KATEDRA INFORMATIKY UNIVERZITA PALACKÉHO V OLOMOUCI. přednášky
Komprese dat Jan Outrata KATEDRA INFORMATIKY UNIVERZITA PALACKÉHO V OLOMOUCI přednášky Slovníkové metody Jan Outrata (Univerzita Palackého v Olomouci) Komprese dat Olomouc, únor březen 2016 1 / 23 Slovníkové
VíceČíslicové obvody a jazyk VHDL
Číslicové obvody a jazyk VHDL Návrh počítačových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Proč HW realizace algoritmu Vyšší rychlost paralelní nebo zřetězené zpracování, přizpůsobení výpočetních
VíceStruktura a architektura počítačů (BI-SAP) 5
Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 5 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii
VíceNávrh ovládání zdroje ATX
Návrh ovládání zdroje ATX Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením
VíceKOMPRESE OBRAZŮ. Václav Hlaváč. Fakulta elektrotechnická ČVUT v Praze katedra kybernetiky, Centrum strojového vnímání. hlavac@fel.cvut.
1/24 KOMPRESE OBRAZŮ Václav Hlaváč Fakulta elektrotechnická ČVUT v Praze katedra kybernetiky, Centrum strojového vnímání hlavac@fel.cvut.cz http://cmp.felk.cvut.cz/ hlavac KOMPRESE OBRAZŮ, ÚVOD 2/24 Cíl:
VíceTeorie informace a kódování (KMI/TIK)
Teorie informace a kódování (KMI/TIK) Bezpečnostní kódy Lukáš Havrlant Univerzita Palackého 13. listopadu 2012 Konzultace V pracovně 5.076. Každý čtvrtek 9.00 11.00. Emaily: lukas@havrlant.cz lukas.havrlant@upol.cz
VíceTeorie informace: řešené příklady 2014 Tomáš Kroupa
Teorie informace: řešené příklady 04 Tomáš Kroupa Kolik otázek je třeba v průměru položit, abychom se dozvěděli datum narození člověka (den v roce), pokud odpovědi jsou pouze ano/ne a tázaný odpovídá pravdivě?
VíceIntegrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody
Integrované obvody Obvody malé, střední a velké integrace Programovatelné obvody Integrovaný obvod zkratka: IO anglický termín: integrated circuit = IC Co to je? elekrotechnická součástka na malé ploše
VíceJazyk VHDL zápis čísel, znaků a řetězců. Jazyk VHDL základní datové typy a operátory. Kurz A0B38FPGA Aplikace hradlových polí
ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Jazyk VHDL zápis čísel, znaků a řetězců Jazyk VHDL základní datové typy a operátory Kurz
VíceDigitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.
Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Obvody s třístavovým výstupem dva tranzistory: vodivostní kanál typ N vodivostní kanál typ P X CS 3 stavový sa výstup Y P logika X 3 stavový výstup W N CS
VíceTeorie informace a kódování (KMI/TIK)
Teorie informace a kódování (KMI/TIK) Bezpečnostní kódy Lukáš Havrlant Univerzita Palackého 13. listopadu 2012 Konzultace V pracovně 5.076. Každý čtvrtek 9.00 11.00. Emaily: lukas@havrlant.cz lukas.havrlant@upol.cz
VíceČíselné vyjádření hodnoty. Kolik váží hrouda zlata?
Čísla a logika Číselné vyjádření hodnoty Au Kolik váží hrouda zlata? Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží
VíceTeoretická informatika Tomáš Foltýnek foltynek@pef.mendelu.cz. Algebra Struktury s jednou operací
Teoretická informatika Tomáš Foltýnek foltynek@pef.mendelu.cz Algebra Struktury s jednou operací Teoretická informatika 2 Proč zavádíme algebru hledáme nástroj pro popis objektů reálného světa (zejména
VíceInformační systémy ve zdravotnictví
Informační systémy ve zdravotnictví ZS 2008/2009 Zoltán Szabó Tel.: (+420) 312 608 207 E-mail: szabo@fbmi.cvut.cz č.dv.: 504, 5.p Dnešní přednáška Kódování, komprese 2 1 Komprese dat Cíl komprese: redukovat
VícePŘEDNÁŠKA PS 6 Přenos dat v počítačových sítích
PŘEDNÁŠKA PS 6 Přenos dat v počítačových sítích Část 2 Osnova Metody detekce chybovosti Pravděpodobnost chyby ve zprávě Parita Kontrolní blokový součet (pseudosoučet) Redundantní cyklické kódy Jiný způsob
VíceVýhody a nevýhody jednotlivých reprezentací jsou shrnuty na konci kapitoly.
Kapitola Reprezentace grafu V kapitole?? jsme se dozvěděli, co to jsou grafy a k čemu jsou dobré. rzo budeme chtít napsat nějaký program, který s grafy pracuje. le jak si takový graf uložit do počítače?
VíceNávrh základních kombinačních obvodů: dekodér, enkodér, multiplexor, demultiplexor
Předmět Ústv Úloh č. 2 BDIO - Digitální obvody Ústv mikroelektroniky Návrh zákldních kombinčních obvodů: dekodér, enkodér, multiplexor, demultiplexor Student Cíle Porozumění logickým obvodům typu dekodér,
VíceNPRG030 Programování I, 2018/19 1 / :03:07
NPRG030 Programování I, 2018/19 1 / 20 3. 12. 2018 09:03:07 Vnitřní třídění Zadání: Uspořádejte pole délky N podle hodnot prvků Měřítko efektivity: * počet porovnání * počet přesunů NPRG030 Programování
VíceInformace, kódování a redundance
Informace, kódování a redundance Data (jednotné číslo údaj) obvykle chápeme jako údaje, tj. číselné hodnoty, znaky, texty a další fakta zaznamenaná (a uložená v databázi) ve formě uspořádané posloupnosti
VíceAsymetrická kryptografie a elektronický podpis. Ing. Dominik Breitenbacher Mgr. Radim Janča
Asymetrická kryptografie a elektronický podpis Ing. Dominik Breitenbacher ibreiten@fit.vutbr.cz Mgr. Radim Janča ijanca@fit.vutbr.cz Obsah cvičení Asymetrická, symetrická a hybridní kryptografie Kryptoanalýza
VíceOperace ALU. INP 2008 FIT VUT v Brně
Operace ALU INP 2008 FIT VUT v Brně 1 Princip ALU (FX) Požadavky: Logické operace Sčítání (v doplňkovém kódu) Posuvy/rotace Násobení ělení B A not AN OR XOR + Y 1) Implementace logických operací je zřejmá
VíceZpracování multimediálních dat
VŠB - Technická univerzita Ostrava Fakulta elektrotechniky a informatiky Katedra informatiky Zpracování multimediálních dat Semestrální práce Cyklické kódy 27, kop173 Obsah : 1. ÚVOD... 1 2. KÓDOVÁNÍ...
VíceSČÍTAČKA, LOGICKÉ OBVODY ÚVOD TEORIE
SČÍTAČKA, LOGICKÉ OBVODY ÚVOD Konzultanti: Peter Žilavý, Jindra Vypracovali: Petr Koupý, Martin Pokorný Datum: 12.7.2006 Naším úkolem bylo sestrojit pomocí logických obvodů (tzv. hradel) jednoduchou 4
VíceY36SAP. Osnova. Číselné soustavy a kódy, převody, aritmetické operace Y36SAP Poziční číselné soustavy a převody.
Y36SAP Číselné soustavy a kódy, převody, aritmetické operace Tomáš Brabec, Miroslav Skrbek - X36SKD-cvičení. Úpravy pro SAP Hana Kubátová Osnova Poziční číselné soustavy a převody Dvojková soust., převod
VíceUNIVERZITA PARDUBICE. Fakulta elektrotechniky a informatiky. Kodér/dekodér vybraných cyklických kódů Knejp Lukáš
UNIVERZITA PARDUBICE Fakulta elektrotechniky a informatiky Kodér/dekodér vybraných cyklických kódů Knejp Lukáš Bakalářská práce 01 Prohlášení autora Prohlašuji, že jsem tuto práci vypracoval samostatně.
VíceFz =a z + a z +...+a z +a z =
Polyadické číselné soustavy - převody M-místná skupina prvků se z-stavovou abecedou umožňuje zobrazit z m čísel. Zjistíme, že stačí vhodně zvolit číslo m, abychom mohli zobrazit libovolné číslo menší než
VíceDigitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.
Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Stavové automaty enkódování Proces, který rozhoduje kolik paměťových prvků bude využito v paměťové části. Binární enkódování je nejpoužívanější. j počet stavů
VíceTeorie kódování aneb jak zhustit informaci
Teorie kódování aneb jak zhustit informaci Jan Paseka Masarykova Univerzita Brno 13. února 2015 Cíl přednášky V této přednášce se pokusíme o stučný úvod do historie teorie kódování včetně teorie informace
Více2.7 Binární sčítačka. 2.7.1 Úkol měření:
2.7 Binární sčítačka 2.7.1 Úkol měření: 1. Navrhněte a realizujte 3-bitovou sčítačku. Pro řešení využijte dílčích kroků: pomocí pravdivostní tabulky navrhněte a realizujte polosčítačku pomocí pravdivostní
VíceALGEBRA. Téma 4: Grupy, okruhy a pole
SLEZSKÁ UNIVERZITA V OPAVĚ Matematický ústav v Opavě Na Rybníčku 1, 746 01 Opava, tel. (553) 684 611 DENNÍ STUDIUM Téma 4: Grupy, okruhy a pole Základní pojmy unární operace, binární operace, asociativita,
Více2.8 Kodéry a Rekodéry
2.8 Kodéry a Rekodéry 2.8.1 Úkol měření 1. Navrhněte a realizujte rekodér z kódu BCD na kód 2421 a ověřte jeho funkčnost 2. Navrhněte a realizujte rekodér z kódu 2421 na kód BCD a ověřte jeho funkčnost
VíceAlgoritmus pro hledání nejkratší cesty orientovaným grafem
1.1 Úvod Algoritmus pro hledání nejkratší cesty orientovaným grafem Naprogramoval jsem v Matlabu funkci, která dokáže určit nejkratší cestu v orientovaném grafu mezi libovolnými dvěma vrcholy. Nastudoval
VíceKompresní techniky. David Bařina. 15. února David Bařina Kompresní techniky 15. února / 37
Kompresní techniky David Bařina 15. února 2013 David Bařina Kompresní techniky 15. února 2013 1 / 37 Obsah 1 Pojmy 2 Jednoduché techniky 3 Entropická kódování 4 Slovníkové metody 5 Závěr David Bařina Kompresní
VíceSamoopravné kódy. Katedra matematiky a Institut teoretické informatiky Západočeská univerzita
Katedra matematiky a Institut teoretické informatiky Západočeská univerzita Seminář pro učitele středních a vysokých škol, Plzeň, 30. března 2012 jsou všude Některé oblasti využití: CD přehrávače mobilní
VíceTeorie informace II: obtížnější řešené příklady 2014 Tomáš Kroupa
Teorie informace II: obtížnější řešené příklady 204 Tomáš Kroupa. Máme n mincí, z nichž nejvýše jedna je falešná. Pozná se podle toho, že má jinou hmotnost než ostatní mince (ty váží všechny stejně). Mince
VíceFaculty of Nuclear Sciences and Physical Engineering Czech Technical University in Prague
1 / 23 Faculty of Nuclear Sciences and Physical Engineering Czech Technical University in Prague 2 / 23 biologové často potřebují najít často se opakující sekvence DNA tyto sekvence bývají relativně krátké,
VíceIdentifikátor materiálu: ICT-1-02
Identifikátor materiálu: ICT-1-02 Předmět Informační a komunikační technologie Téma materiálu Data a informace Autor Ing. Bohuslav Nepovím Anotace Student si procvičí / osvojí základní pojmy jako data,
Více1 z 9 9.6.2008 13:27
1 z 9 9.6.2008 13:27 Test: "TVY_KLO" Otázka č. 1 Převodníku je: kombinační logický obvod, který převádí jeden binární kód do druhého Odpověď B: obvod, pomocí kterého můžeme převádět číslo z jedné soustavy
VíceZadání a řešení testu z matematiky a zpráva o výsledcích přijímacího řízení do magisterského navazujícího studia od podzimu 2015
Zadání a řešení testu z matematiky a zpráva o výsledcích přijímacího řízení do magisterského navazujícího studia od podzimu 05 Zpráva o výsledcích přijímacího řízení do magisterského navazujícího studia
VíceStruktura a architektura počítačů (BI-SAP) 6
Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 6 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii
VícePokročilá kryptologie
Pokročilá kryptologie RSA doc. Ing. Róbert Lórencz, CSc. České vysoké učení technické v Praze Fakulta informačních technologií Katedra počítačových systémů Příprava studijních programů Informatika pro
VíceDefinice. Vektorový prostor V nad tělesem T je množina s operacemi + : V V V, tj. u, v V : u + v V : T V V, tj. ( u V )( a T ) : a u V které splňují
Definice. Vektorový prostor V nad tělesem T je množina s operacemi + : V V V, tj. u, v V : u + v V : T V V, tj. ( u V )( a T ) : a u V které splňují 1. u + v = v + u, u, v V 2. (u + v) + w = u + (v + w),
VíceNásobení. MI-AAK(Aritmetika a kódy)
MI-AAK(Aritmetika a kódy) Násobení c doc. Ing. Alois Pluháček, CSc., 2011 Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze Evropský sociální fond Praha&
VíceCíle. Teoretický úvod
Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních
Více8. RSA, kryptografie s veřejným klíčem. doc. Ing. Róbert Lórencz, CSc.
Bezpečnost 8. RSA, kryptografie s veřejným klíčem doc. Ing. Róbert Lórencz, CSc. České vysoké učení technické v Praze Fakulta informačních technologií Katedra počítačových systémů Příprava studijních programů
Více