Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO

Rozměr: px
Začít zobrazení ze stránky:

Download "Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO"

Transkript

1 FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO Garant předmětu: Prof. Ing. Radimír Vrba, CSc. Autoři textu: Doc. Ing. Pavel Šteffan, Ph.D. BRNO * 2014 Vznik těchto skript byl podpořen projektem č. CZ.1.07/2.2.00/ Evropského sociálního fondu a státním rozpočtem České republiky.

2 2 FEKT Vysokého učení technického v Brně Autor Název Vydavatel Vydání Doc. Ing. Pavel Šteffan, Ph.D Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO Vysoké učení technické v Brně Fakulta elektrotechniky a komunikačních technologií Ústav telekomunikací Technická 12, Brno první Rok vydání 2014 Náklad elektronicky ISBN Tato publikace neprošla redakční ani jazykovou úpravou.

3 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 3 Obsah 1 ÚVOD ZÁKLADNÍ POJMY DIGITÁLNÍ TECHNIKY KOMBINAČNÍ LOGICKÉ FUNKCE ZPŮSOBY ZÁPISU A ZOBRAZENÍ KOMBINAČNÍCH LOGICKÝCH FUNKCÍ Zápis kombinační logické funkce pomocí pravdivostní tabulky Zápis kombinační logické funkce logickým výrazem Zobrazení kombinační logické funkce pomocí mapy Zobrazení kombinační logické funkce logickými schématy s kombinačními logickými členy ZJEDNODUŠOVÁNÍ ZÁPISU KOMBINAČNÍCH LOGICKÝCH FUNKCÍ Minimalizace logických funkcí pomoci Karnaughovy mapy Minimalizace logických funkcí pomoci Quineho -McCluskeyho Minimalizace logických funkcí s využitím smíšené formy - TANT Další metody minimalizace logických funkcí REALIZACE KOMBINAČNÍCH LOGICKÝCH FUNKCÍ REALIZACE KOMBINAČNÍ LOGICKÉ FUNKCE ZÁKLADNÍMI KOMBINAČNÍMI DIGITÁLNÍMI OBVODY Realizace kombinační logické funkce součinovými a součtovými obvody Realizace kombinační logické funkce pomocí členů AND-OR-INVERT Realizace kombinační logické funkce pomocí multiplexorů Realizace kombinační logické funkce pomocí demultiplexorů DALŠÍ ZPŮSOBY REALIZACE KOMBINAČNÍ LOGICKÉ FUNKCE PAMĚŤOVÉ OBVODY PAMĚTI TYPU ROM Paměti PROM Paměti EPROM Paměti EEPROM Paměti FLASH Paměti MRAM PAMĚTI TYPU RWM Paměti SRAM Paměti DRAM DRUHY DIGITÁLNÍCH INTEGROVANÝCH OBVODŮ BIPOLÁRNÍ INTEGROVANÉ OBVODY Vstupní statická charakteristika Výstupní statická charakteristika Převodní statická charakteristika Dynamické vlastnosti Další varianty obvodů TTL Shrnutí základních vlastností logických bipolárních IO UNIPOLÁRNÍ INTEGROVANÉ OBVODY Stejnosměrná analýza invertoru CMOS Šumová imunita Stanovení výstupních úrovní... 57

4 4 FEKT Vysokého učení technického v Brně Stanovení vstupních úrovní ZPOŽDĚNÍ LOGICKÝCH HRADEL ZNAČENÍ LOGICKÝCH OBVODŮ PŘEHLED VYRÁBĚNÝCH PRODUKTOVÝCH ŘAD ZÁSADY NAVRHOVÁNÍ DIGITÁLNÍCH OBVODŮ A SYSTÉMŮ PŘIPOJOVÁNÍ VSTUPŮ DIGITÁLNÍCH OBVODŮ Ošetření nevyužitých vstupů Připojování vstupů nevyužitých logických obvodů Přizpůsobování napěťových úrovní Zpracování signálů mechanických kontaktů VÝSTUPY DIGITÁLNÍCH OBVODŮ Buzení výkonové zátěže úrovní H Buzení výkonové zátěže úrovní L Galvanické oddělení výstupů APLIKAČNÍ ZÁSADY Rozvod zemního vodiče Rozvod napájecího napětí Poznámky k oživování přístroje ÚPRAVA HRAN LOGICKÝCH SIGNÁLŮ SCHMITTŮV KLOPNÝ OBVOD NEAUTONOMNÍ GENERÁTORY IMPULSŮ ODOLNOST DIGITÁLNÍCH SYSTÉMŮ NA RUŠENÍ VAZEBNÍ MECHANISMY PŘENOSU RUŠIVÝCH SIGNÁLŮ Induktivní vazba Kapacitní vazba Galvanická vazba ÚTLUM Útlum odrazem Absorpční útlum Celková účinnost stínění CITLIVOST LOGICKÝCH ČLENŮ NA RUŠENÍ ARDUINO STRUKTURA PROGRAMU SYNTAXE Proměnné deklarace proměnných platnost proměnných DATOVÉ TYPY Konstanty ARITMETICKÉ OPERACE FUNKCE ŘÍZENÍ TOKU PROGRAMU VSTUPY A VÝSTUPY ČASOVÁNÍ MATEMATICKÉ FUNKCE Náhodná čísla KOMUNIKACE

5 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 5 SEZNAM POUŽITÉ LITERATURY SEZNAM OBRÁZKŮ

6 6 FEKT Vysokého učení technického v Brně 1 Úvod Logické obvody zpracovávají nespojité signály, které nabývají jen konečného malého počtu úrovní. Naprostá většina dnes vyráběných IO využívá dvou logických úrovní pracujících s dvojkovou číselnou soustavou. Jejich funkci a vzájemné spojování do soustav lze popsat pomoci Booleovy algebry. Číslicové systémy se dnes realizují několika základními způsoby. Komponenty číslicových systémů se vyrábějí prakticky výhradně ve formě integrovaných obvodů. Z hlediska ekonomičnosti zde hraje prvořadou úlohu možnost výroby těchto komponent ve velkých množstvích. Nabízená řešení jsou proto většinou univerzální, použitelná v širokém spektru zařízení a systémů. Univerzální součástka tedy musí pracovat v různých režimech, a musí být schopna provádět různé činnosti. Digitální systémy tedy nejčastěji můžeme realizovat takto: a) číslicové integrované obvody základních řad (74.., 4000, ECL atd.), b) mikrokontroléry a mikropočítače, c) programovatelné logické obvody (PLD - Programmable Logic Devices) a obvody FPGA - Field Programmable Gate Arrays, obě skupiny budeme zahrnovat pod název programovatelné obvody, d) aplikačně specifické integrované obvody (ASIC). V této části skript se budeme převážně zabývat základními číslicovými obvody, které tvoří podstatnou funkční část dnešních systémů. Pro složité systémy byly vytlačeny programovatelnými logickými obvody a mikrokontroléry, ale i tyto prvky nejsou schopny pracovat bez základních logických obvodů.

7 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 7 2 Základní pojmy digitální techniky V digitální technice se používá abstrakce, kdy se signály pokládají za skokově proměnné, přičemž nabývají v nejjednodušším případě dvou možných hodnot, které označujeme jako logická jednička - log. 1 a logická nula - log. 0. Tam, kde nebude obava z nedorozumění, budeme označení log. vynechávat. Uvedené signály budou popisovány pomocí dvouhodnotových veličin. Ty mohou být interpretovány několika způsoby. Nejčastěji se používá: logická interpretace 1, 0; pravdivostní interpretace výrok pravdivý (1), nepravdivý (0); interpretace formou binárních číslic 1, 0 užívá se zvlášť pro vícebitové skupiny; interpretace vyjadřující aktivní (1) a neaktivní (0) - stav určité řídicí veličiny; další možnosti jsou např. kontaktová reprezentace: sepnuto (1), rozepnuto (0) a podobně. V dalším textu budeme používat nejčastěji logickou interpretaci. V některých případech se však používají jiné interpretace, například v programových prostředcích pro počítačový návrh digitálních systémů se setkáme téměř bez výjimky s interpretací formou binárních číslic. Dvouhodnotové veličiny se v technice digitálních integrovaných obvodů zobrazují nejčastěji těmito způsoby: zobrazením pomocí úrovně fyzikální veličiny (napětí, proudu) - úroveň H (vyšší hodnota), L (nižší hodnota); zobrazením pomocí změny takové veličiny. Při vyjadřování dvouhodnotových veličin pomocí elektrických signálů budeme nejčastěji používat úrovňové zobrazení. Přitom je možný dvojí vztah k interpretaci logické: vyjadřuje-li úroveň H hodnotu 1, jde o kladnou logiku (viz. obr. 1b), v opačném případě o logiku zápornou. V digitální technice pracujeme nejčastěji s kladnou logikou. Vyjadřuje-li se přitom aktivní úroveň řídicí veličiny (1) úrovní L, pak se příslušná proměnná zapisuje jako invertovaná - např. u displeje s diodami LED (obr. 1a). Hodnota a = 1 odpovídá v kladné logice úrovni L signálu. Tím vlastně převádíme zápornou logiku na kladnou. a) b) Obr. 1: Příklad označení proměnné s aktivní úrovní L Zobrazení změnou úrovně se používá především tam, kde je potřebné vyznačit určitý okamžik, např. pro zápis do registru, pro inkrementaci čítače apod. Pak mluvíme o aktivní hraně (vzestupné nebo sestupné).

8 8 FEKT Vysokého učení technického v Brně Logické veličiny mohou být logické konstanty (0, 1), logické proměnné, které se označují pomocí identifikátorů. Logický systém je dynamický a pracuje v čase. Často u těchto systémů používáme diskrétní čas, což jsou pouze určité významné časové okamžiky - změny. Takovýmto systémům říkáme synchronní systémy. Změny jsou akceptovány pouze při náběžných (resp. sestupných) hranách synchronizačního signálu. V dalším textu budeme obvykle slovo logické v popisu veličin, konstant a proměnných vynechávat, pokud nebude nebezpečí nedorozumění. Základní pojmy z oboru logických systémů: logická proměnná - proměnná logického systému, která nabývá pouze hodnot 0 nebo 1, logický signál - druh fyzikálního signálu, který nabývá pouze hodnot patřících do vzájemně se nepřekrývajících množin, logická funkce - vztah závislých a nezávislých logických proměnných, logický člen - zařízení realizující logické funkce, logický systém - model logického obvodu, který matematickým popisem charakterizuje chování logického obvodu Logický obvod - druh fyzikálního systému, u něhož každá veličina nabývá v ustáleném stavu pouze dvou hodnot z dvou vzájemně se nepřekrývajících množin Logický soubor - soubor logických členů a pomocných zařízení pro realizaci logických obvodů. Každý ucelený soubor se skládá z členů umožňujících informaci: o získat - snímače, převodníky, ovládací prvky o zpracovat - funkční část realizující funkční předpis o přenést - kodéry, dekodéry, přenosové kanály o využít akční členy, výkonové prvky Logické systémy (obvody) s pevnou funkcí - navržená struktura je pevná pro řešení určité úlohy. Funkci obvodu jednoznačně určuje jeho struktura (zapojení). Změna funkce znamená změnu struktury. Logické systémy (obvody) s programovanou funkcí -funkce je určena programem uloženým v paměti. Změna funkce obvodu podmiňuje změnu programu. Jednotlivé operace (funkční předpis) odpovídají jednotlivým instrukcím. Digitální systémy se dělí na dvě velké skupiny: systémy kombinační, u nichž hodnoty výstupních veličin závisejí jen na okamžitém stavu vstupních veličin, systémy sekvenční, kde hodnoty výstupních veličin závisejí i na předchozím stavu systému, tyto systémy tedy obsahují paměťový prvek. Většina digitálních systémů jsou systémy sekvenční - typickým příkladem je například čítač. Přesto je užitečné zabývat se nejprve kombinačními systémy, které jsou obecně jednodušší. Jak uvidíme později, mnoho sekvenčních systémů lze vytvořit z kombinačních systémů pouhým přidáním paměťového prvku, registru. Analýza i syntéza sekvenčních systémů pak může být založena na rozkladu těchto systémů na kombinační část a registr, a každá z těchto částí může být zpracována samostatně. [1]

9 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 9 3 Kombinační logické funkce Elektronické obvody, které realizují logické funkce, dělíme do základních dvou skupin: na tzv. kombinační a sekvenční logické systémy. První skupina kombinační logické systémy (obvykle tvořená z logických hradel) je charakterizována tím, že výstupní stav systému závisí pouze na okamžitých stavech (kombinaci) vstupních logických proměnných; při jejich změně dochází ke změně výstupního stavu se zpožděním, které je dané jen dobou průchodu signálu přes použité elektronické obvody. Sekvenční logické systémy generují výstupní stav na základě hodnoty vstupních logických proměnných a na základě předchozí hodnoty výstupu. Výstup těchto obvodů je tedy definován jen tehdy, je-li definována časová posloupnost (sekvence) změn vstupních hodnot; z toho též plyne jejich název. Základním pojmem při úvahách o kombinačních systémech představuje pojem kombinační logická funkce. Kombinační logická funkce je pravidlo, přiřazující každé kombinaci hodnot 0 a 1 přiřazených vstupním proměnným z definičního oboru funkce jedinou hodnotu výstupní proměnné. Pro daný počet vstupních proměnných je těchto funkcí konečný počet. Kombinační logické funkce mohou být úplně nebo neúplně určené. Úplně určená kombinační logická funkce je taková funkce, jejíž definiční obor zahrnuje všechny kombinace vstupních proměnných. U neúplně určené kombinační logické funkce její definiční obor nezahrnuje některé tyto kombinace. Kombinací se zde rozumí kombinace hodnot 0 a 1 přiřazených jednotlivým vstupním proměnným. Úplně určeným funkcím se někdy říká úplné funkce, funkcím neúplně určeným pak neúplné funkce. Tab. 1: Kombinační logické funkce jedné vstupní proměnné hodnoty vstupní 0 1 zápis funkce název funkce proměnné x 0 0 y = f 0 (x) = 0 nulová funkce odpovídající 0 1 y = f funkční hodnoty 1 (x) = x totožnost, opakování 1 0 y = f(x) y = f 2 (x) = x negace, inverze 1 1 y = f 3 (x) = 1 jednotková funkce Existují čtyři kombinační logické funkce jedné proměnné, které jsou popsány v tab. 1. Ze způsobu sestavení této tabulky lze snadno zjistit počet možných úplně určených kombinačních logických funkcí určitého počtu proměnných. Při sestavování podobné tabulky pro n proměnných postupujeme následujícím způsobem. Do n řádků nad sebou vypíšeme možné hodnoty vstupních proměnných tak, aby v jednotlivých sloupcích vytvořily všechny možné kombinace hodnot těchto proměnných. Například tak, že tyto sloupce budou představovat n-bitová binární čísla odpovídající pořadí každého sloupce. Počet těchto kombinací je m = 2 n. Nyní pod těmito řádky představujícími vstupní proměnné vytvoříme řádky odpovídající funkčním hodnotám jednotlivých funkcí tak, že do těchto řádků vypíšeme všechny možné kombinace m funkčních hodnot. Těchto řádků, a tedy možných funkcí, je nyní 2 m, tedy celkový počet možných funkcí n proměnných je 2 2n. Úplně určených kombinačních logických funkcí dvou proměnných je tedy 16. V tab. 2 je naznačeno, jak se tyto funkce mohou výše uvedeným způsobem systematicky vypsat.

10 10 FEKT Vysokého učení technického v Brně Nejdůležitější kombinační logické funkce dvou proměnných jsou y = a. b AND logický součin y = a. b NAND funkce NAND y = a + b OR logický součet, disjunkce, y = a + b NOR y = a b = a. b + a. b EX-OR nonekvivalence, exklusivní součet y = a b = a. b + a. b EX-NOR ekvivalence Tab. 2: Vybrané kombinační funkce dvou vstupních proměnných Hodnoty vstupních proměnných x 1, x 2 zápis funkce výrazem f 0 (x 1, x 2 ) y = f 1 (x 1, x 2 ) y = f 2 (x 1, x 2 ) y = f 3 (x 1, x 2 ) y = f 4 -f 15 (x 1, x 2 ) x1 x2 y = 0 y = x 1.. x 2 y = x 1. x 2 y = x 1 atd název funkce nulová logický inhibice opakování funkce součin x 1 Z uvedeného popisu je zřejmé, že počet logických funkcí velmi rychle roste s počtem vstupních proměnných. Při vyjádření logických funkcí jedné proměnné jsme vystačili s pouhou inverzí, pro vyjádření funkcí dvou proměnných bylo třeba zavést funkce logického součtu a součinu. Bylo by možné očekávat, že pro vyjádření logických funkcí většího počtu proměnných bude nutné zavádět další složitější základní logické funkce. Lze však ukázat, že jakoukoliv kombinační logickou funkci libovolného množství proměnných je možné vyjádřit pomocí několika elementárních logických funkcí. Soubor takových funkcí se nazývá úplný soubor logických funkcí. Příklady úplného souboru logických funkcí jsou: funkce NAND - touto jedinou funkcí můžeme vyjádřit všechny kombinační logické funkce libovolného počtu proměnných, funkce NOR - platí pro ni totéž co pro funkci NAND, úplnými soubory funkcí jsou i takové soubory, jimiž lze výše uvedené funkce vyjádřit, tedy například funkce OR spolu s inverzí, funkce AND spolu s inverzí.

11 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 11 Důležitým nástrojem pro práci s logickými funkcemi je Booleova algebra 1. V Booleově algebře budeme používat logické reprezentace dvouhodnotových veličin - logických proměnných. Základní zákony této algebry mají podobný tvar, jako mají zákony běžné algebry. Tab. 3: Komutativní zákon a + b = b + a Booleova algebra Asociativní zákon (a + b) + c = a + (b + c) Zákon idempotence (tautologie) a + a = a Zákon absorpce a + (a. b) = a duální forma a. b = b. a (a. b). c = a. (b. c) a. a = a a. (a + b) = a Zákon agresivnosti nuly a jedničky a. 0 = 0 a + 1 = 1 Zákon neutrálnosti nuly a jedničky a + 0 = a Distributivní zákon a. (b + c) = (a. b) + (a. c) a. 1 = a a + (b. c) = (a + b). (a + c) Zákon sporu a vyloučeného třetího a. a = 0 a + a = 1 Zákon involuce neboli dvojí negace a = a Zákon absorpce negace a. (a + b) = a. b a + a. b = a + b De Morganovy pravidla a + b + c+.. +z = a. b. c z a + b = a. b a. b = a + b 1 George Boole (* 2. listopad 1815, Lincoln, Spojené království 8. prosinec 1864, Cork) byl anglický logik a matematik. Vymyslel systém na hodnocení pravdivostních výrazů složených pomoci logických spojek AND, OR, NOT a logických proměnných nabývajících pouze dvou hodnot 1 (PRAVDA) a 0 (NEPRAVDA).

12 12 FEKT Vysokého učení technického v Brně De Morganova pravidla je možno formulovat i obecněji. Hodnota logického výrazu s operátory logického součtu a logického součinu se nezmění, jestliže vzájemně tyto operátory zaměníme (tj. operátory logického součtu nahradíme operátory logického součinu a naopak), invertujeme všechny proměnné a také výsledek. Logickým výrazem zde rozumíme zápis skupiny identifikátorů proměnných, s nimiž jsou prováděny operace logického součtu, logického součinu a inverze naznačené příslušnými operátory, a v případě potřeby je pořadí provádění operací určeno závorkami. Tab. 4: Zobecněné zákony 1. Zákon o absorpci a. f(a, a, b, ) = a. f(1,0, b, ) a + f(a, a, b, ) = a + f(0,1, b, ) a. f(a, a, b, ) = a. f(0,1, b, ) a + f(a, a, b, ) = a + f(1,0, b, ) 2. Shannonův teorém o rozkladu f(a, a, b, ) = a. f(1,0, b, ) + a. f(0,1, b, ) f(a, a, b, ) = [a + f(1,0, b, )]. a. f(0,1, b, ) 3.1 Způsoby zápisu a zobrazení kombinačních logických funkcí Abychom mohli s kombinačními logickými funkcemi pracovat, musíme je nejprve zapsat či zobrazit. Nejčastěji se používají následující způsoby zápisu nebo zobrazení kombinačních logických funkcí: zápis pomocí pravdivostní tabulky, zápis logickým výrazem, zobrazení pomocí mapy, zobrazení pomocí logického schématu Zápis kombinační logické funkce pomocí pravdivostní tabulky Pravdivostní tabulka obsahuje vstupní a výstupní logické proměnné. Velikost tabulky je dána počtem proměnných a počtem výstupních funkcí. Máme-li n proměnných a m výstupních funkcí, bude mít tabulka n + m sloupců. Řádků bude mít tabulka právě 2 n, což jsou všechny možné kombinace stavů logických proměnných, které mohou nastat.

13 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 13 Tab. 5: Zápis nejdůležitějších logických funkcí pomoci pravdivostní tabulky Vstupní Výstupní funkce proměnná a b AND NAND OR NOR EX-OR EX-NOR symbol logický součin Schefferova funkce logický součet Pierceova funkce nonekvivalence ekvivalence Pravdivostní tabulkou lze vyjádřit libovolné funkce, jako příklad složitější pravdivostní tabulky uvedeme popis převodníku čtyřbitového binárního kódu na kód sedmisegmentového displeje s hexadecimálním zobrazením. Princip zapojení převodníku a sedmisegmentového displeje je nakreslen na na obr. 2. U vstupních proměnných je symbolem MSB (Most Significant Bit) a LSB (Least Significant Bit) označen nejvýznamnější a nejméně významný bit. Tab. 6 naznačuje pravdivostní tabulku převodníku.. B/7seg a r (MSB) s t u (LSB) b c d e f f e a g d b c g Obr. 2: Princip zapojení převodníku BCD/7 seg V pravdivostní tabulce jsou pro všechny kombinace vstupních proměnných (zde r, s, t, u) uvedeny hodnoty výstupních proměnných. Nejobvyklejší je uvádět kombinace vstupních proměnných tak, že při interpretaci formou binárních čísel představují posloupnost přirozených čísel zapsaných v binárním kódu. Při tomto způsobu zápisu vyjadřují uvedená čísla stav jednotlivých vstupních proměnných a označují se jako stavový index. Pravdivostní tabulka představuje nejjednodušší způsob zápisu kombinačních logických funkcí. Při větším počtu vstupních proměnných však může být tento způsob zápisu nepohodlný pro značný počet řádků tabulky.

14 14 FEKT Vysokého učení technického v Brně Tab. 6: Pravdivostní tabulka převodníku z obr. 2 číslo vstupy výstupy zobrazená (stavový index) r s t u a b c d e f g číslice (hex) A B C D E F Zápis kombinační logické funkce logickým výrazem Logickým výrazem rozumíme zápis skupiny identifikátorů logických proměnných vzájemně oddělených logickými operátory, přičemž se pro vyjádření pořadí provádění operací v případě potřeby používají závorky. Nejpoužívanější operátory pro základní logické operace (logický součet, součin, inverze, fukce EX-OR) jsme již poznali. V literatuře se setkáme s dalšími operátory pro jiné operace a s alternativními symboly operátorů pro uvedené logické funkce. Zvláštní důležité typy logických výrazů jsou: součinový term - obsahuje jen operátory logického součinu (nazývaný též implikant, konjunkce), př. k 0 = s. t. u součtový term - obsahuje jen operátory logického součtu (inhibent, disjunkce), př. d 0 = s + t + u minterm - součinový term obsahující všechny vstupní proměnné (které mohou být přítomny v přímém nebo v inverzním tvaru), Př. x 1 x 2 x 3 x 4 x n maxterm - součtový term obsahující podobně všechny vstupní proměnné, Př. x 1 + x 2 + x 3 + x x n úplný term - minterm nebo maxterm. Z de Morganových pravidel přímo plyne, že součtový term sestavený z určité kombinace vstupních proměnných je roven inverzi součinového termu, sestaveného ze stejných proměnných, které mají opačné znaky inverze, tj. proměnná obsažená v součtovém termu bez inverze je v odpovídajícím součinovém termu invertovaná a naopak. Z definice vyplývá, že logická funkce představovaná mintermem má nulovou hodnotu pro všechny kombinace vstupních proměnných s výjimkou jediné, u níž jsou vstupní proměnné uvedené v zápisu mintermu s inverzí nulové a proměnné uvedené v tomto zápisu bez inverze jsou rovny jedné. Vzhledem k tomu, že při interpretaci zápisu hodnot vstupních proměnných formou binárních číslic představuje číslo vzniklé tímto způsobem hodnotu stavového indexu s, budeme značit příslušný minterm symbolem ks (konjunktivní).

15 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 15 Podobně funkce představovaná maxtermem má hodnotu rovnou jedné pro všechny kombinace vstupních proměnných s výjimkou té, pro niž je přiřazení hodnot proměnných opačné, než bylo uvedeno u mintermu, tedy proměnná je nulová, je-li v zápisu maxtermu uvedena bez inverze, a má hodnotu 1 v opačném případě. Tento maxterm budeme značit symbolem ds (disjunktivní), přičemž stavový index s je číslo představované binární interpretací uvedených hodnot vstupních proměnných. Význam tohoto označení mintermů a maxtermů bude ještě lépe zřejmý z jejich zobrazení pomocí mapy, jak uvidíme dále. Při uvedeném označení tedy platí k s = d s. (1) Jako příklad uveďme sestavení mintermů a maxtermů pro tři vstupní proměnné s, t, u: k 0 = s. t. u, k 1 = s. t. u, atd., až k 7 = s. t. u, d 0 = s + t + u, d 1 = s + t + u atd., až d 7 = s. t. u. Kombinační logické funkce mohou být zapsány různými způsoby a s použitím různých operátorů. Pro nás budou důležité zejména dva základní způsoby zápisu funkce, a to: zápis výrazem typu součet součinů (Sum of Products, SOP), zápis výrazem typu součin součtů (Product of Sums, POS). První z těchto způsobů zápisu je tvořen součtem součinových termů. Jsou-li všechny tyto termy úplné, tedy jsou-li to mintermy, říká se tomuto způsobu zápisu úplný součtový tvar zápisu. Nejsou-li některé termy v tomto zápisu úplné, jde o zkrácený (zjednodušený) součtový tvar zápisu. Výraz typu součin součtů je naopak tvořen součinem součtových termů a podobně jako u předcházejícího způsobu zápisu to může být úplný součinový tvar zápisu (jsou-li všechny tyto termy úplné, tedy jde-li o součin maxtermů), nebo zkrácený (zjednodušený) součinový tvar zápisu v opačném případě. Pro účely realizace kombinační logické funkce mají význam minimální tvary zápisu (součtový nebo součinový), za které můžeme pokládat způsoby zápisu co nejvíce zjednodušené. O metodách zjednodušování pojednáme v dalším textu. Mezi zápisem kombinační logické funkce pomocí pravdivostní tabulky a zápisem této funkce v úplném součtovém nebo součinovém tvaru je úzká souvislost. Zápis v úplném součtovém tvaru dostaneme z tabulky snadno tak, že funkci zapíšeme jako součet mintermů odpovídajících řádkům tabulky, pro něž tato funkce má hodnotu 1. Např. pro funkci e z tab. 6 dostaneme tímto způsobem zápis v úplném součtovém tvaru takto: e = k 0 + k 2 + k 6 + k 8 + k 10 + k 11 + k 12 + k 13 + k 14 + k 15. V tomto případě je k 0 = r. s. t. u, k 2 = r. s. t. u atd. Zápis funkce v úplném součinovém tvaru získáme podobně jako součin maxtermů odpovídajících řádkům tabulky, pro něž má funkce hodnotu 0. Např. pro funkci e je zápis funkce v úplném součinovém tvaru e = d 1. d 3. d 4. d 5. d 7. d 9. Zde je d 1 = s + t + u, d 3 = s + t + u atd. Lze snadno ukázat, že je-li počet vstupních proměnných n, je počet N mintermů a maxtermů z těchto proměnných vytvořených právě N = 2 n. (2)

16 16 FEKT Vysokého učení technického v Brně Obecně můžeme vyjádřit kombinační logickou funkci f(x n,..., x 1 ) v úplném tvaru součtu součinů takto f(x n,..., x 1 ) = f 0. k 0 + f 1. k f N-1. k N-1. (3) Zde jsou f 0, f 1,..., f N-1 hodnoty funkce f odpovídající jednotlivým řádkům funkční tabulky, tedy její hodnoty pro kombinace vstupních proměnných odpovídající příslušným stavovým indexům. V tomto zápisu nabývají symboly f 0, f 1,..., f N-1 hodnot 0 nebo 1 a po vypuštění nulových součinů dostaneme součet mintermů odpovídajících řádkům tabulky, v nichž je hodnota funkce rovna 1. Toto vyjádření platí pro úplně určené funkce. U funkcí neúplně určených zde budou jistě přítomny jen členy s těmi hodnotami stavových indexů, pro něž je funkce definována. Členy s hodnotami stavových indexů, pro něž funkce není definována, mohou a nemusí být v zápisu přítomny, protože na těchto funkčních hodnotách nezáleží. Podobně můžeme funkci f(x n,..., x 1 ) vyjádřit v úplném tvaru součinu součtů f(x n,..., x 1 ) = (f 0 + d 0 ). (f 1 + d 1 )..... ( f N-1 + d N-1 ). (4) Zde naopak ze součinu zmizí ty závorky, v nichž figuruje hodnota funkce, která je rovna 1 a zůstanou maxtermy odpovídající řádkům tabulky, v nichž je funkce nulová. Posouzení složitosti zápisu kombinační logické funkce těsně souvisí s technickou realizací těchto funkcí. Jak uvidíme dále, existuje více způsobů této realizace. Pro každý z nich může být nejvýhodnějším (minimálním) určitý způsob zápisu, tyto způsoby zápisu však nemusí být stejné pro různé způsoby realizace. Použijeme-li k realizaci například digitální integrované obvody typu NAND nebo NOR, pokládáme obvykle za minimální takový zápis typu součtu součinů nebo součinu součtů, který vyžaduje nejmenší počet potřebných vývodů použitých obvodů, což zhruba odpovídá nejmenšímu počtu symbolů vstupních proměnných použitých v zápisu funkce. Při realizaci pomocí programovatelných logických obvodů se však snažíme vyjádřit realizované funkce pomocí nejmenšího počtu termů (součinových nebo součtových) bez ohledu na počet proměnných obsažených v jednotlivých termech. Výrazy typu součtu součinů odpovídají do značné míry analogickým výrazům z běžné algebry (i co do pravidel pro počítání s nimi), a proto se s nimi pracuje nejčastěji. Výraz typu součinu součtů lze pomocí de Morganových pravidel zapsat jako invertovaný výraz typu součtu součinů, jinak řečeno, jako výraz typu součtu součinů pro inverzi původního výrazu. Oba způsoby zápisu tedy jsou v tomto smyslu ekvivalentní. Pro některé funkce však může být jeden z těchto způsobů jejího zápisu v minimálním tvaru výrazně jednodušší než druhý, zejména, je-li při posuzování rozhodující počet termů. Zápis funkce v úplném součtovém a součinovém tvaru je jednoznačný. Minimálních tvarů však může být pro určitou funkci více. Příklad logické funkce s větším počtem minimálních tvarů bude uveden v kapitole o zjednodušování logických funkcí. Někdy může být potřebné doplnit zkrácený tvar zápisu logické funkce na úplný tvar. Bývá to například při realizaci funkcí pomocí multiplexorů. Úpravu je možno provést tak, že členy, které neobsahují některé proměnné, se doplní činiteli typu (a + a), kde a je proměnná chybějící v členu (zákon sporu a vyloučeného třetího viz. tab. 3). Příklad: a. b. c + b. c = a. b. c + (a + a). b. c = a. b. c + a. b. c + a. b. c.

17 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO Zobrazení kombinační logické funkce pomocí mapy Nejpoužívanější typ mapy, Karnaughova 2 mapa, může být považován za upravený způsob zápisu pravdivostní tabulky (viz. obr. 3). Buňky mapy odpovídají řádkům tabulky a jsou uspořádány tak, že se stavové indexy sousedních buněk při vyjádření v binární soustavě t liší vždy v hodnotě jedné vstupní proměnné. Při nákresu u mapy na rovinný list je možno tuto podmínku dodržet, není-li počet vstupních proměnných větší než čtyři Přitom za sousední buňky pokládáme i ty, které jsou s umístěny proti sobě při okrajích mapy - můžeme si to představit tak, že mapu stočíme, aby se protilehlé strany dotkly, a to postupně ve směru obou os. Při pěti r vstupních proměnných si můžeme představit dvě vrstvy C D F E takové mapy nad sebou, pro více proměnných je již taková interpretace obtížnější. 8 9 B A Obr. 3: Karnaughova mapa pro čtyři vstupní proměnné Mapa pro čtyři proměnné r, s, t, u má tvar podle obr. 3. Po stranách mapy jsou vyznačeny hodnoty vstupních proměnných, v každé buňce je uveden v hexadecimální soustavě stavový index. V uvedené mapě jsou pro názornost vyznačeny i hodnoty stavového indexu v binárním tvaru. Při zobrazení logické funkce pomocí mapy se místo toho do buněk zapisují odpovídající funkční hodnoty. Pro úsporu psaní se často zapisují jen jedničkové nebo jen nulové hodnoty, a pak se u prázdných buněk předpokládá, že obsahují hodnotu opačnou. Minterm a maxterm se v mapě zobrazí podle obr. 2.3, kde je zobrazen minterm k 7 a maxterm d 7. Z mapy je zřejmá platnost vztahu (2.1), podle něhož minterm a maxterm označený stejným stavovým indexem jsou veličiny komplementární. Můžeme také definovat logický součet, resp. součin map, kde výsledná mapa obsahuje v každé buňce logický součet, resp. součin hodnot uvedených v odpovídajících buňkách původních map. Z vyjádření logických funkcí pomocí mintermů a maxtermů je zřejmé, že výsledná mapa představuje součet, resp. součin funkcí představovaných původními mapami. t t u u s s r 0 r C D F E C D F E 8 9 B A 8 9 B A minterm k 7 = r. s. t. u, maxterm d 7 = r + s + t + u, Obr. 4: Zobrazení mintermu a maxtermu v Karnaughově mapě 2 Maurice Karnaugh (* 4. října, 1924, New York City) je americký fyzik, publikoval K-mapu v roce 1953

18 18 FEKT Vysokého učení technického v Brně Zobrazení kombinační logické funkce logickými schématy s kombinačními logickými členy Zápis logické funkce pomocí logického výrazu můžeme snadno převést do grafického tvaru tak, že vstupní a výstupní proměnné naznačíme ve formě vstupních a výstupních signálů logického schématu. Operace prováděné s proměnnými znázorníme pomocí grafických značek logických členů. Každý typ logického členu používá svou vlastní schématickou značku. Nejčastěji používané tvary značek logických členů jsou uvedeny v tab. 5. Většinou se používají značky představující jeden druh logické operace - logický součin, součet, operaci EX-OR a podobně a výstupy příslušných logických členů, které jsou pak vedeny na vstupy dalších, představují vnitřní signály ve schématu. Není to však nezbytně jediný způsob kreslení, známé jsou například značky pro logické členy typu AND-OR-INVERT. 3.2 Zjednodušování zápisu kombinačních logických funkcí Při realizaci logických funkcí některými způsoby (například při jejich realizaci pomocí základních kombinačních digitálních integrovaných obvodů je obvykle výhodné vycházet z minimálního tvaru zápisu funkce, který získáme z jiných tvarů zjednodušením (minimalizací). Ke zjednodušování se používají různé metody, z nichž zde uvedeme metodu algebraických úprav a metodu využívající Karnaughových map. V praxi se dnes, zejména pro složitější funkce používají počítačové metody. Jedna z nejznámějších metod je metoda Quineho-McCluskeyho, která představuje v podstatě převedení metody používající Karnaughovy mapy do algoritmického vyjádření (některé části této metody jsou zde však zobecněny ve větším rozsahu). I na složitější algoritmy, používané v počítačových programech, můžeme však často bez velké újmy na přesnosti pohlížet jako na algoritmy, které aspoň z hlediska uživatele fungují obdobně jako metody založené na použití Karnaughových map. Minimalizace úplně určených funkcí. Při zjednodušování pomocí algebraických úprav využíváme nejčastěji vztahu (a + a) = 1, viz. tab. 3. Obsahuje-li logická funkce zapsaná v součtovém tvaru dva termy, které se vzájemně liší jen v jedné proměnné, je možno zbývající proměnné z jejich součtu vytknout. Například lze upravit r. s. t. u + r. s. t. u = (r + r). s. t. u = stu. Tato skutečnost je základem metody zjednodušování logických funkcí pomocí algebraických úprav, která je vhodná pro zjednodušování funkcí, jejichž zápis obsahuje nevelký počet termů. Je-li termů v zápisu více, je obtížné hledat dvojice termů vhodné pro takové zjednodušení. Není-li počet vstupních proměnných příliš velký, bývá výhodnější použít při zjednodušování zobrazení funkce pomocí mapy Minimalizace logických funkcí pomoci Karnaughovy mapy Dvojice sousedních buněk v mapě odpovídají mintermům, které se liší jen v jedné proměnné. Při zobrazení v mapě jsou takové dvojice mintermů zřejmé na první pohled a jejich sloučení znamená jejich nahrazení termem s počtem proměnných o jednu menší. Podobně dvě takové dvojice spolu sousedících buněk představují čtveřici, vyjadřující term, u něhož je vyloučena proměnná, která v původních termech měla nestejný znak inverze, tj. v jedné vystupovala přímo a v druhé v inverzi. Algebraicky to opět odpovídá vytknutí společných činitelů těchto termů, přičemž v závorce zůstane výraz typu (a + a), který je roven jedničce a v součinu je pak vynechán. Podobným způsobem lze zjednodušit sousedící čtveřice, osmice

19 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 19 atd. buněk mapy. V mapě je možno najít skupiny buněk (mintermů) vhodné pro zjednodušení mnohem snadněji, než v algebraickém zápisu (pokud počet proměnných není příliš velký). To je základ pro použití map při zjednodušování zápisu logických funkcí či jejich minimalizaci, tj. nalezení minimálního tvaru jejich zápisu, vycházíme-li ze součtového zápisu funkce. Zcela analogický je postup zjednodušování, vycházíme-li ze součinového zápisu, pouze místo s mintermy pracujeme s maxtermy. Při slučování termů v mapě vyznačujeme slučované buňky pomocí smyček. Například funkci e z příkladu pro displej můžeme zobrazit dvěma způsoby podle obr. 5 t t u u s 0 0 s r r C D F E C D F E B A 8 9 B A Obr. 5: Zobrazení a minimalizace funkce e z tab. 6 Použijeme-li pro zápis karnaughovy tabulky z obr. 5 součtový tvar, dostaneme e = r. s + t. r + s. u + t. u = r. s + t. r + s. u + t. u. (5) Z pravé mapy pro zápis v součinovém tvaru máme: e = (r + u). (s + t + u). (r + s + t) = r + u + s + t + u + r + s + t. Výsledkem minimalizované funkce mohou být různé funkce. Příklad mapy logické funkce y se dvěma minimálními součtovými tvary je uveden na obr. 6, jde o funkci, v níž jsou smyčky zřetězeny. To umožňuje dvojí výběr smyček. t t u u y = u. t + u. s + t. s, 7 Obr. 6: 6 s y = u. t + t. s + u. s, Ukázka funkce se dvěma možnými součtovými tvary Minimalizace logických funkcí pomoci Quineho -McCluskeyho Jedná se o algoritmické vyjádření postupu zjednodušování logických funkcí. Jednotlivé kroky Quineho McCluskeyho algoritmu však lze mapou vyjádřit. Tato 6 s (6)

20 20 FEKT Vysokého učení technického v Brně minimalizační metoda vychází z normální úplné součtové formy a slouží k získání normální minimalizované součtové formy. Princip metody spočívá v aplikovaní modifikovaného zákonu absorpce se zákonem sporu a vyloučeného třetího. Vzhledem ke svému jednoznačnému algoritmu je vhodná pro počítač. Je využitelná zejména pro funkce velkého počtu proměnných, kde "ruční" minimalizace je neúnosná. Metoda umožňuje skupinovou minimalizaci logických funkcí. Postup: 1. Vytvoření standardní (plné) boolské funkce (rozklad funkce na mintermy) všechny implikanty obsahují všechny proměnné v přímé nebo negované formě, 2. Systematické zjednodušování f = fa + fa (každý implikant s každým implikantem) tvoří strom s několika úrovněmi zjednodušení (t.j. výsledek prvé úrovně zjednodušení se opět zjednodušuje metodou "každý s každým" a vzniká druhá úroveň zjednodušení, která se opět zjednodušuje stejným způsobem). V každé úrovni zůstávají členy, které nelze dále zjednodušit - přímé implikanty. 3. Nalezení přímých implikantů funkce (implikanty, které se nedají dále zjednodušit z jednotlivých úrovní stromu). Sestavuje se tabulka, ve které se označuje, které přímé implikanty (řádky) pokrývají které mintermy plné booleovské funkce (sloupce). Některé mintermy booleovské funkce mohou být pokryty několika přímými implikanty (nepodstatné přímé implikanty), některé mintermy booleovské funkce mohou být pokryty pouze jedním přímým implikantem (podstatný přímý implikant). 4. Nalezení podstatných přímých implikantů - jádro řešení (implikanty, které se nedají nahradit jinými z množiny nalezených přímých implikantů). 5. Výběr minimálního množství nepodstatných přímých implikantů. Sestavuje se opět tabulka pokrytí mintermů plné booleovské funkce tentokráte bez podstatných přímých implikantů funkce (jejich řádky se vynechávají) a bez všech mintermů, které tyto implikanty pokrývají (vynechávají se příslušné sloupce. Existují optimalizační postupy pro výběr vhodných tak, aby pokryly ostatní Minimalizace logických funkcí s využitím smíšené formy - TANT Metoda TANT (Tree-level AND-NOT logic with True imputs) vychází z metody minimalizace Quine McCluskey, generuje tříúrovňové logické sítě (maximálně 3 členy v serii) se členy NAND. Základem metody TANT je doplnění některých implikantů smíšenou formou, která umožní jednodušší výslednou realizaci než původní metoda Quine - McCluskey (čistě disjunktivní forma):

21 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 21 Př.: x 1 x 3 x 0 x 3 x 0 x 1 x 3 x 3 (x 1 + x 0 ) eventuální možnost vyloučení většího počtu implikantů jedním doplňujícím členem S takto doplněnou množinou implikantů se pracuje dál podobným způsobem jako u metody Quine- McCluskey. Výběr implikantů se provádí opět přes tabulky pokrytí. Opět existují optimalizační postupy pro výběr vhodných implikantů tak, aby pokryly ostatní Další metody minimalizace logických funkcí Kromě uvedených metod minimalizace existuje celá řada dalších, které využívají odlišné formy popisu logické funkce. Jednou z nich je minimalizace z 1 a 0 bodů funkce, která využívá tabulkový popis logické funkce a je založena na algebraickém zápisu odlišujících charakteristik 1 bodů (funkce f = 1) od všech 0 bodů (funkce f = 0) s následující úpravou pomocí zákonů Booleovy algebry. Další metodou minimalizace je Svobodova metoda, která využívá kombinaci zobrazení logické funkce na vícerozměrné krychli. Metoda má jednoznačný algoritmus a vede na disjunktivní tvar logické funkce. V některých nových publikacích se pro označení nedefinované hodnoty funkce místo symbolu X používá jiných symbolů. To je obvyklé zejména v literatuře zabývající se počítačovou podporou návrhu digitálních systémů a jejich simulací, kde se rozlišuje několik dalších typů hodnot logických proměnných. Například v jazyku VHDL je navíc definována hodnota logické proměnné odpovídající konfliktní situaci, kdy dva či více výstupů logických obvodů jsou propojeny paralelně a každý z nich vnucuje tomuto uzlu jinou hodnotu. Tato hodnota se zde značí symbolem X a v angličtině se označuje termínem unknown. Nedefinované hodnotě ve smyslu, který jsme zavedli výše, se zde říká don t care a pro její označení se užívá pomlčka. Další podrobnosti můžeme nalézt v literatuře [2, 3]

22 22 FEKT Vysokého učení technického v Brně 4 Realizace kombinačních logických funkcí Realizací kombinační logické funkce rozumíme sestavení schématu zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné v souhlasu se zadanou logickou funkcí. Při použití moderních mikroelektronických součástek k této realizaci často postačí jediný integrovaný obvod z katalogu nebo můžeme použít programovatelných prostředků (pamětí PROM, obvodů PLD), které programováním nakonfigurujeme tak, aby realizovaly požadovanou funkci. Přesto základním způsobem zůstává realizace kombinační logické funkce pomocí kombinačních logických obvodů představujících realizaci základních logických členů v integrované podobě, kdy se vychází ze zápisu logické funkce v některém z výše uvedených tvarů součtu součinů nebo součinu součtů. Jak uvidíme, z tohoto způsobu zápisu funkce se vychází i při použití některých moderních součástek s vysokým stupněm integrace. Nejčastěji se v digitální technice setkáme s následujícími způsoby realizace kombinační logické funkce: pomocí digitálních integrovaných obvodů typu NAND, NOR (popřípadě AND, OR) a dalších obvodů realizujících základní kombinační logické funkce např. AND-OR- INVERT, EX-OR atd., pomocí multiplexorů a demultiplexorů, pomocí speciálních kombinačních integrovaných obvodů (převodníky kódů, generátory parity, sčítačky, násobičky a podobně - sem patří i použití multiplexorů a demultiplexorů), pomocí pamětí PROM a EPROM, pomocí programovatelných logických obvodů (PLD). Ve zvláštních případech lze k realizaci kombinační logické funkce využít i jiných prostředků (např. tranzistorů a diod), což může být vhodné například tam, kde nemáme k dispozici vhodný napájecí zdroj pro digitální obvody a jeho vytvoření by bylo složitější než realizace kombinační logické funkce uvedeným způsobem.

23 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO Realizace kombinační logické funkce základními kombinačními digitálními obvody Realizace kombinační logické funkce součinovými a součtovými obvody Při realizaci lze využít zápis realizované funkce v součtovém nebo součinovém tvaru. Obvykle bývá výhodné použít pro realizaci logické členy téhož typu, tj. buď součinové nebo součtové členy. Pokud nepoužijeme všechna logická hradla v prvním stupni, můžeme je pak využít ve druhém stupni. To však nelze chápat jako dogma, v některých případech může být vhodné použít i jiných typů integrovaných obvodů. t u Obr. 7: Mapa realizované funkce y Jako příklad uvažujme funkci y, která je dána mapou podle obr. 18. Budeme předpokládat, že jsou k dispozici vstupní veličiny v přímém i inverzním tvaru. Zápis funkce y v součtovém tvaru je y = u. s + u. t. Pro realizaci součinovými členy převedeme pomocí de Morganova pravidla součet na součin y = u. s. u. t. Při realizaci součtovými členy převedeme součiny na součty: y = u + s + u + t. Těmto výrazům odpovídají logická schémata podle obr. 8. Při realizaci funkce pouze logickými členy NOR dostaneme inverzi realizované funkce. Proto je v tomto případě na výstupu zapojen ještě invertor. Místo toho můžeme použít ve druhém stupni člen OR místo NOR, vyjde-li to výhodněji z hlediska celkového počtu použitých integrovaných obvodů. s Obr. 8: Realizace funkce y na základě součtového tvaru zápisu

24 24 FEKT Vysokého učení technického v Brně V součinovém tvaru je funkce y podle obr. 18 zapsána ve tvaru y = (u + t). (t + s). (u + t) Při realizaci součtovými členy zápis upravíme y = (u + t) + (t + s) + (u + t). Chceme-li použít součinové členy, vyjdeme ze zápisu: y = (u. t). (t. s). (u. t). Tomu odpovídají schémata podle obr. 9. Obr. 9: Realizace funkce y na základě součinového tvaru zápisu V případě, že se má realizovat současně několik funkcí týchž proměnných, je někdy možné využít termy vytvořené v prvním stupni pro více funkcí, pokud tyto funkce obsahují společné termy. Mluvíme pak o skupinové minimalizaci několika funkcí týchž proměnných. Z uvedeného příkladu můžeme učinit obecnější závěr. Vycházíme-li při realizaci ze součtového tvaru zápisu, je funkce realizována strukturou NAND-NAND nebo NOR-OR. Vyjdeme-li ze součinového tvaru, dostaneme strukturu NOR-NOR nebo NAND-AND. Obě zapojení vycházející z téhož tvaru jsou topologicky stejná, tj. obsahují stejný počet logických členů, které mají stejný počet vstupů. To plyne ze skutečnosti, že při realizaci součinovými nebo součtovými členy na základě určitého tvaru zápisu, byly pouze operace jednoho druhu převedeny pomocí de Morganova pravidla na operace druhého druhu (například logický součet na součin), počet operací potřebný ve funkci však zůstal nezměněn. V konkrétních případech se zapojení vyžadující nejmenší množství spotřebovaných součástek, i s ohledem na vyráběné typy obvodů najde tak, že vyzkoušíme všechny varianty a vybereme z nich nejvhodnější. Po krátké praxi není tento způsob optimalizace nesnadný ani zdlouhavý, jak by se mohlo na první pohled zdát, aspoň pro nepříliš složité funkce, pro něž je tento způsob realizace vhodný. Složitější funkce se pak realizují způsoby uvedenými dále, například pomocí programovatelných logických obvodů nebo pamětí PROM, EPROM a EEPROM. V předcházející úvaze jsme předpokládali, že máme k dispozici přímé i invertované hodnoty vstupních proměnných. Někdy tomu tak není a například invertované hodnoty musíme vytvořit z přímých hodnot vstupních proměnných pomocí invertorů, což zvyšuje spotřebu součástek. Existují metody minimalizace, pomoci kterých lze najít minimální tvar funkce i v tomto případě. Takovou metodou je právě metoda minimalizace struktury TANT (Minimalizace logických funkcí s využitím smíšené formy - TANT). Podobně metodou minimalizace struktury TONT (Three-stage Or-Not structure with True inputs) lze vytvořit

25 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 25 optimální strukturu analogickou struktuře NOR-NOR. Uvedené metody jsou složitější než ty, které jsme vyložili výše a jsou popsány například v [3], [4] Realizace kombinační logické funkce pomocí členů AND-OR-INVERT Tyto logické členy se vyrábějí v několika provedeních, která se vzájemně liší počtem součinových sekcí a počtem vstupů v těchto sekcích. Jejich použití je výhodné tam, kde má realizovaná funkce tvar odpovídající těmto počtům. Například obvod 74LS51 [5] je vhodný pro realizaci funkcí, které při vyjádření v součinovém tvaru obsahují dva součtové termy o dvou proměnných (funkce 1y) a dva součtové termy o třech proměnných (funkce 2y). Funkce y1 a y2, které obvod realizuje, je možno psát ve tvaru 1y = 1a. 1b. 1c + 1d. 1e. 1f, 2y = 2a. 2b + 2c. 2d. Obr. 10: Schéma zapojení obvodu 74LS51 Výhodou je, že signál ze součinové části postupuje do součtové části uvnitř pouzdra, takže je zde menší zpoždění, než kdyby musel procházet přes vývody. Také se zjednoduší obrazec spojů a dále je možné ušetřené vývody použít pro další vstupy, jak je popsáno v posledním odstavci této kapitoly. Má-li se však realizovat více funkcí týchž proměnných, nelze užít dílčí součiny z prvního stupně pro několik funkcí. V současné době není použití tohoto typu obvodů příliš časté Realizace kombinační logické funkce pomocí multiplexorů Digitální multiplexor (Multiplexer) je kombinační logický obvod, který funguje podobně jako obyčejný vícepolohový přepínač. Ve schématech bývá označován zkratkou MUX nebo MPX, schématická značka multiplexoru je uvedena na obr. 11. Má určitý počet datových vstupů a jeden výstup (popř. dva komplementární výstupy). Obecně lze říci, že multiplexor zpravidla obsahuje n adresových vstupů, 2 n datových vstupů a jeden výstup. Na adresové vstupy (A 0 až A n-1) se přivádějí adresové signály tvořící binárně zakódovanou adresu. Datové vstupy jsou očíslovány a jejich počet se shoduje s počtem možných adresových kombinací. Signál z datového vstupu s číslem odpovídajícím dekadické hodnotě adresy je multiplexorem převeden na výstup. Signály z ostatních datových vstupů nejsou respektovány. Multiplexor bývá často doplněn výběrovým vstupem S (z anglického Select), který hradluje procházející signál. Je-li na tomto vstupu signál s aktivní úrovní, pak signál z vybraného datového vstupu prochází na výstup. V opačném případě je výstupní signál y neaktivní. Výběrový vstup se používá nejčastěji pro sestavování větších multiplexorů z několika menších.

26 26 FEKT Vysokého učení technického v Brně Obr. 11: Příklady schématických značek multiplexoru pro různá provedení a) dva vstupy/1výstup, b) čtyři vstupy/1 výstup, c) dva dvouvstupové multiplexory /2 výstupy Multiplexor lze snadno zrealizovat pomoci hradel NAND, jak je ukázáno na obr. 12. Pokud se podíváme na pravdivostní tabulku, vidíme, že při logické hodnotě L na adresovacím vstupu A jsou blokovány data na vstupu D 0 a na výstupu y dostáváme informaci ze vstupu D 1. Analogicky při logické hodnotě H na adresovacím vstupu A jsou blokovány data na vstupu D 1 a výstupu y kopíruje vstup D 0. Obr. 12: Multiplexor Zobrazenou funkci lze popsat pomoci výrazu y = A. D 0 D 1 + A. D 0 D 1 + A. D 0 D 1 + A. D 0 D 1, po zjednodušení dostaneme výraz y = A. D 1 + A. D 0. Vstupy A D 1 D 0 y Nyní se podíváme na funkci multiplexoru se třemi adresovacími bity a výběrovým vstupem S. Ten multiplexor můžeme popsat vztahem y = s. (k 0. i 0 + k 1. i k 7. i 7 ), (7) kde k 0 = a 2. a 1. a 0, k 1 = a 2. a 1. a 0,, k 7 = a 2. a 1. a 0. V kapitole 3 byl uveden zápis kombinační logické funkce v rovnici (3) v úplném součtovém tvaru, který pro tři vstupní proměnné x 3, x 2, x 1 můžeme přepsat do tvaru f(x 3, x 2,x 1 ) = f 0. k 0 + f 1. k f 7. k 7. (8)

27 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 27 kde k 0, k 1,, k 7 jsou mintermy složené z proměnných x 3, x 2, x 1. Tento výraz je formálně shodný s výrazem v závorce v popisu funkce multiplexoru, ztotožníme-li adresové proměnné a 2, a 1, a 0 multiplexeru s proměnnými x 3, x 2, x 1 (součiny těchto proměnných představují mintermy k 0 až k 7 ) a hodnoty i 0, i 1,..., i 7 s hodnotami f 0, f 1,..., f 7. Pomocí multiplexoru můžeme tedy realizovat jakoukoliv funkci tří proměnných f(x 3, x 2, x 1 ), přivedeme-li na jeho vstupy D 0, D 1,, D 7 signály s hodnotami f 0, f 1,..., f 7 (vstupy uzemníme nebo připojíme přes vhodný rezistor ke zdroji napájecího napětí podle toho, je-li příslušná hodnota funkce logická nula nebo logická jednička). Z vyjádření funkce jsme tak proměnné x 3, x 2, x 1 eliminovali. Podobným způsobem můžeme postupovat i při eliminaci části proměnných u funkcí většího počtu proměnných. Zapíšeme-li funkci f(x n,..., x 1 ) v úplném součtovém tvaru (mámeli zkrácený tvar jejího zápisu, doplníme jej na úplný podle postupu uvedeného na konci kapitole 3, můžeme všechny mintermy seřadit do skupin tak, aby v každé skupině byly např. proměnné x 3, x 2, x 1 ve stejném vyjádření co do přímého nebo inverzního tvaru, a tyto proměnné pak vytknout. Dostaneme zápis této funkce ve tvaru f(x n,..., x 1 ) = f 0. k 0 + f 1. k f 7. k 7, kde nyní f 0, f 1,..., f 7 jsou tzv. zbytkové funkce proměnných x n,..., x 4 (neobsahují již poměrné x 3, x 2, x 1 ). Jsme-li nyní schopni funkce f 0, f 1,..., f 7 snadno realizovat, pak z nich funkci f(x n,..., x 1 ) získáme pomocí multiplexoru stejně jako jsme pomocí něho realizovali funkci f(x 3, x 2, x 1 ), tj. na jeho vstupy D 0, D 1,, D 7 přivedeme signály s hodnotami zbytkových funkcí f 0, f 1,..., f 7 a na jeho adresové vstupy přivedeme proměnné x 3, x 2, x 1. Při použití multiplexoru se třemi adresovými vstupy je tento způsob výhodný zejména pro realizaci funkcí čtyř proměnných (obecně u multiplexoru s n adresovými vstupy pro realizaci funkcí n + 1 proměnných), protože pak bude na každém ze vstupů D 0, D 1,, D 7 multiplexoru některá z těchto hodnot: zbývající proměnná, její inverze, 1, 0, které jsou bezprostředně k dispozici. Jako příklad uveďme realizaci funkce e pro odpovídající segment převodníku kódu BCD na kód sedmisegmentového displeje tab. 6. Vyjděme např. z minimálního tvaru zápisu této funkce odvozeného v kap. 3 e = r. s + t. r + s. u + t. u. Eliminujeme odtud pomocí adresových vstupů multiplexoru např. proměnné s, t a u. Výraz pro funkci e doplníme tak, aby každý sčítanec obsahoval všechny eliminované proměnné e = r. s + r. t + s. u + t. u = = r. s(t + t). (u + u) + r. (s + s). t. (u + u) + (r + r)s. (t + t)u + (r + r). (s + s)t. u Po roznásobení dostaneme výraz, v němž sčítance seskupíme tak, aby bylo možno vytknout součiny eliminovaných proměnných. Toto seskupení je možno nejlépe provést formou tabulky zbytkových funkcí (tab. 7), kde vypíšeme všechny kombinace eliminovaných proměnných (v prvním řádku) a k nim napíšeme, co z jednotlivých sčítanců zbylo po vytknutí (druhý řádek). Roznásobený výraz zde není uveden, ale do tabulky jsou vypsány všechny zbylé proměnné z tohoto výrazu a tam, kde je možné zápis zjednodušit, je připsán i zjednodušený tvar.

28 28 FEKT Vysokého učení technického v Brně Tab. 7: Tabulka zbytkových funkcí s. t. u s. t. u s. t. u s. t. u s. t. u s. t. u s. t. u s. t. u 1 0 r+1+1=1 r r r r+r+1=1 r+r=r Výsledný výraz pro realizaci funkce pomocí multiplexoru tedy je e = s. t. u. 1 + s. t. u. 0 + s. t. u. 1 + s. t. u. r + s. t. u. r + s. t. u. r + s. t. u. 1 + s. t. u. r Tento způsob realizace kombinačních logických funkcí s použitím integrovaných multiplexorů byl svého času značně oblíbený. V dnešní době jeho význam poklesl, protože existují způsoby realizace, které jsou často výhodnější, např. realizace s využitím programovatelných logických obvodů. Často se však s multiplexory tímto způsobem použitými setkáme ve strukturách složitějších integrovaných obvodů. Uvedený způsob realizace logické funkce pomoci multiplexoru lze také názorně ukázat pomoci zobrazení funkce v pravdivostní tabulce. Pokud jako adresovací vstupy multiplexoru zvolíme proměnné rst, lze tabulku zbytkových tříd sestavit tak, že hledáme závislost mezi zbylou proměnnou (v našem případě u) a výstupem (v našem případě e). Seskupení proměnných je v tabulce přímo patrné. Tab. 8: Pravdivostní tabulka pro funkci e z obr. 2 s vyznačením zbytkových funkcí číslo vstupy výstup Vstup (stavový index) r s t u e MUX u u L u u H H H Obr. 13: Obvodové zapojení funkce e pomoci multiplexoru Realizace kombinační logické funkce pomocí demultiplexorů Demultiplexor je kombinační logický obvod provádějící opačnou operaci než multiplexor, tzn., má jeden vstup, několik adresovacích vstupů a n výstupů. Stejnou funkci vykonává obvod zvaný dekodér, u něj však výstupy chápeme jako signál kódovaný ve zvoleném kódu. Demultiplexor má jednu skupinu vstupů, které označujeme jako adresové vstupy a ty vybírají výstup, na nějž se vede signál z datového vstupu. Součástí demultiplexoru může být i dekodér, který umožní snížení počtu adresovacích vstupů.

29 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 29 Obr. 14: Schématická značka demultiplexoru Vstupy Výstupy A 1 A 0 D 0 Y 3 Y 2 Y 1 Y Obr. 15: Vnitřní zapojení demultiplexoru 1 ze 4, pravdivostní tabulka Binární dekodér je kombinační logický obvod, který převádí binárně kódované n bitové číslo na (obvykle) 2 n výstupů kódovaných jako 1 z 2 n. Binární dekodér má tedy n vstupů a 2 n výstupů, z nichž je v daném okamžiku vždy aktivní pouze jeden, přičemž číslo aktivního výstupu odpovídá hodnotě vstupního binárního čísla. Binární dekodér použijeme např. v případech, kdy potřebujeme zobrazit hodnotu binárního čísla pomocí samostatných LED, vybrat sloupec nebo řádek maticového displeje apod. Možné vnitřní zapojení dekodéru 1z4 je uvedeno na obr. 16., a to včetně pravdivostní tabulky. Stejný typ dekodéru může být použit například v demultiplexoru, jako je znázorněno na obr. 15.

30 30 FEKT Vysokého učení technického v Brně Vstupy Výstupy A 1 A 0 Y 3 Y 2 Y 1 Y Obr. 16: Vnitřní zapojení dekodéru 1 ze 4, pravdivostní tabulka Je-li počet výstupů roven celistvé mocnině čísla 2, můžeme považovat proměnné y 0 až y 7 za mintermy vytvořené z proměnných na jeho vstupech. To je podstata použití dekodéru pro realizaci kombinačních logických funkcí. Při ní vycházíme z úplného součtového tvaru zápisu realizované funkce, nebo z pravdivostní tabulky. Ty výstupní signály dekodéru, které odpovídají mintermům obsaženým v realizované funkci, sečteme logickými členy připojenými k výstupům dekodéru. Má-li dekodér inverzní výstupy, přejde jejich součet podle de Morganova pravidla v součin. Je přitom výhodné, můžeme-li využít vytvořené mintermy pro více současně realizovaných funkcí. Jako příklad realizujme funkci y pomocí dekodéru. y = x 3. x 2. x 1 + x 3. x 2. x 1 + x 3. x 2. x 1 K realizaci zadané funkce můžeme použít dekodér 1 z 8. Každý z výstupů dekodéru je aktivní pro hodnoty vstupních proměnných odpovídající jednomu řádku pravdivostní tabulky. Součinové členy připojené k jeho výstupům dávají na svých výstupech hodnotu 1, je-li některý z výstupů dekodéru, které jsou připojeny k jejich vstupům, v aktivním stavu. Podle pravdivostní tabulky můžeme nyní snadno vybrat výstupy dekodéru, které mají být připojeny k jednotlivým součinovým členům vytvářejícím funkce x 1 až x 3. x 3 x 2 x 1 y 0 y 1 y 2 y 3 y 4 y 5 y 6 y Obr. 17: Realizace zadané funkce y pomoci dekodéru 1 z 8

31 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO Další způsoby realizace kombinační logické funkce Pro mnoho často se vyskytujících funkcí se vyrábějí speciální integrované obvody. Tyto obvody se vyrábějí ve velkých sériích, jsou proto levné a dokonale propracované z hlediska funkčních vlastností. Patří mezi ně ve skutečnosti i multiplexory, demultiplexory a dekodéry. Zvláště zaslouží zmínku logický člen s funkcí exklusivního součtu EX-OR, dále jsou k dispozici různé enkodéry s funkcí opačnou k funkci dekodérů, generátory parity, sčítačky, aritmeticko-logické jednotky atd. Některé z nich jsou vybaveny speciálními vstupními a výstupními obvody, např. výstupy s větším přípustným proudovým nebo napěťovým zatížením, s otevřeným kolektorovým výstupem, s třístavovým výstupním zesilovačem, s hysterezní charakteristikou (obvody jsou vybavené Schmittovým obvodem na vstupu) atd. V některých případech je účelné realizovat dodatečnou logickou funkci pomocí obvodů s otevřeným kolektorovým výstupem. Tyto obvody jsou výhodné zejména tam, kde potřebujeme vytvořit logický součin (nebo s využitím de Morganova pravidla logický součet) signálů, jejichž počet předem neznáme - může být např. dán počtem použitých zásuvných jednotek v mikropočítači. Vývody na určité pozici konektoru buzené otevřeným kolektorovým výstupem mohou být vzájemně propojeny a odpovídající signály tak budou logicky vynásobeny. Paměti ROM, PROM a jejich různé varianty (EPROM, EEPROM atd.) v naprogramovaném stavu můžeme rovněž pokládat za kombinační logické obvody. Jejich význačnou vlastností je univerzálnost, tj. schopnost vytvořit bez omezení jakoukoliv kombinační logickou funkci svých vstupních proměnných. Jsou proto vhodné tam, kde potřebujeme realizovat složité funkce mnoha proměnných, zejména odpovídá-li počet realizovaných funkcí počtu výstupů vyráběných pamětí. Přístroje pro naprogramování pamětí PROM patří dnes již ke standardní výbavě elektronických laboratoří. Nevýhodou těchto pamětí pro účely realizace kombinační logické funkce je především jejich omezený dostupný sortiment. Vyráběné paměti jsou pro obvyklé kombinační logické funkce většinou příliš velké, takže se zřídka využijí z více než z několika procent své kapacity. Běžné paměti PROM jsou také zhruba o řád pomalejší než jiné kombinační integrované obvody. Nejčastěji se používají paměti tohoto typu mazatelné ultrafialovým světlem (EPROM), které jsou charakteristické křemenným okénkem pro možnost ozáření čipu při mazání, nebo paměti OTP (One Time Programmable - jednou programovatelné) se stejným čipem v levnějším pouzdru bez okénka. Stále více se také prosazují paměti mazatelné elektricky (EEPROM), jejichž výhodou je mimo jiné výrazně kratší doba potřebná pro jejich vymazání. Přehled způsobů realizace kombinační logické funkce. Základní kombinační obvody NAND, NOR a jejich neinvertované verze jsou vhodné pro ty případy, kdy jde o jednoduché funkce, pro jejichž realizaci vystačíme s jedním či dvěma pouzdry. V případě potřeby je možno při tomto způsobu realizace snadno odstranit hazardy, jak bude uvedeno dále. Výhodou je jednoduchost, nízká cena, malé zpoždění signálu. Nevýhodou je omezený rozsah funkcí a nutnost změny zapojení včetně spoje při změně funkce. Podobné výhody a nevýhody jsou i při použití obvodů AND-OR-INVERT. Realizace pomocí multiplexerů je vhodná pro jednu funkci (nebo malý počet funkcí) s nevelkým počtem vstupních proměnných (čtyři až pět, kde lze vystačit s jedním pouzdrem multiplexeru). Mezi výhody patří jednoduchost návrhu, nízká cena, malé zpoždění, možnost změny realizované funkce přestavitelnými propojkami, pokud na ně myslíme při návrhu plošného spoje. Dnes tato výhoda ustupuje při možnosti použít programovatelné logické obvody). Tento způsob realizace se často používá ve vnitřní struktuře integrovaných obvodů.

32 32 FEKT Vysokého učení technického v Brně Dekodéry se rovněž používají k realizaci kombinační logické funkce především v integrovaných obvodech, jsou základním stavebním prvkem pamětí PROM a programovatelných logických obvodů. Použití dekodérů může být efektivní při realizaci více funkcí týchž proměnných, pokud jejich tvar je takový, že nevyžaduje příliš mnoho dalších pouzder integrovaných obvodů. Speciální obvody pro realizaci často se vyskytujících funkcí představují obvykle nejvýhodnější řešení, pokud jde právě o funkce, pro něž jsou navrženy. Je-li však nutno doplňovat je dalšími kombinačními obvody, bývá často výhodnější použít programovatelné logické obvody. Paměti typu PROM jsou nepostradatelné tam, kde se jedná o realizaci funkcí mnoha vstupních proměnných a je žádána možnost dodatečné změny těchto funkcí zcela libovolným způsobem, pokud není na závadu jejich větší zpoždění. To je zejména případ pamětí programu pro mikropočítače, ale i dalších aplikací, kde se vyskytují velmi složité kombinační logické funkce. Programovatelné logické obvody PLD a FPGA, společně zde označované názvem programovatelné obvody, představují řešení podobné, které je realizováno na jednom čipu. Jejich funkce se může změnit naprogramováním. Rychlost reakce těchto obvodů je podobná jako v prvním případě, ve srovnání s procesory však mají nižší univerzálnost, což platí hlavně pro malé a pro středně velké obvody PLD. V obvodech FPGA je dnes možno vytvořit procesory softwarově, u velkých obvodů FPGA jsou často procesory vytvořeny jako hardwarová jádra. Tam, kde požadovaná rychlost reakce navrhovaného systému vyžaduje při použití procesoru připojení dalších podpůrných obvodů, je výhodné realizovat tento celek v obvodu FPGA. Standardní obvody PLD měly vyšší spotřebu z napájecího zdroje ve srovnání například s obvody řady HC. Novější typy tuto nevýhodu již většinou nemají. Programování v systému je s výjimkou nejjednodušších typů těchto obvodů standardem. Velkou předností obvodů PLD je, že v nich lze realizovat současně bloky kombinačního i sekvenčního charakteru, což přispívá ke zmenšení potřebného počtu pouzder. [1]

33 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 33 5 Paměťové obvody V současné době se u digitálních systémů převážně používají polovodičové paměti. Základní dělení polovodičových pamětí je na ROM (Read Only Memory) a RWM (Read Write Memory): a) ROM - Paměť s neměnným zápisem dat neboli paměť konstant. Z těchto pamětí je možno pouze číst binární data, udržují informaci i při vypnutém napájení. b) RWM - Paměti, jejichž obsah jde jednoduše měnit zápisem. Paměti RWM jsou známy v několika provedeních, například jako paměti RAM (Random Access Memory) u kterých můžeme adresovat libovolnou buňku nebo paměti se sekvenčním přístupem SAM (Sequential Access Memory), FIFO (First In First Out) nebo paměti SARAM (Sequential Access Random Access Memory), které kombinují výhody obou typů. Z hlediska energetické závislosti na napájecím napětí můžeme paměti rozdělit: a) energeticky závislé (Volatile) - paměti, které uložené informace po odpojení od zdroje napájení ztrácejí, b) energeticky nezávislé (Non-volatile) - paměti, které uchovávají informace i po dobu, kdy nejsou připojeny ke zdroji elektrického napájení. Volatilita je schopnost paměťové buňky udržet si informaci i bez napájení. Dále lze paměti rozdělit z hlediska destruktivnosti při čtení. a) Destruktivní čtení - přečtení informace z paměti vede ke ztrátě uložené informace, proto po přečtení musí být informace do paměti zapsána zpět, např. paměti DRAM. b) Nedestruktivní čtení - přečtení informace žádným negativním způsobem tuto informaci neovlivní, např. paměti SRAM, MRAM a další. Polovodičové paměti lze také dělit na: a) Statické (SRAM) - uchovávají informaci po celou dobu, kdy je paměť připojena ke zdroji elektrického napětí. b) Dynamické (DRAM) - zapsanou informaci mají tendenci ztrácet i v době, kdy jsou připojeny ke zdroji elektrického napájení. Uloženou informaci je nutné neustále oživovat, aby nedošlo k jejich ztrátě.

34 34 FEKT Vysokého učení technického v Brně 5.1 Paměti typu ROM Paměti typu ROM jsou paměti konstant, ve kterých jsou data trvale uložena. Data jsou v nich uložena buď přímo výrobcem nebo u paměti typu PROM (Programable Read Only Memory) je možné data jednou zapsat. Paměti typu ROM jsou obvykle uspořádány v maticovém tvaru s organizací označovanou jako počet slov (bytů) x počet bitů ve slově. Principiální zapojení je na následujícím obrázku, kde je znázorněna 8-bitová paměť, každá elementární buňka obsahuje jeden bit. adresa Dekodér 1 z N Paměťová buňka Slovo Řízení výstupu Výstupní obvod Obr. 18: Data Základní princip paměti Vstupní slovo dekodéru typu 1 z N tvoří adresu, na základě této adresy dekodér vybírá slova, tj. jednotlivé řádky paměti. Paměťová matice o větší velikosti by se v základním uspořádání podle obr. 18 špatně umísťovala na polovodičový čip, protože se jedná o obdélník s velkým rozdílem v poměru stran se složitým adresovým dekodérem. Adresovací dekodér musí zpracovávat současně velké množství signálů. Paměťové buňky se proto umísťují do matice, v níž se každá buňka adresuje koincidenčně pomoci vybraného sloupce a řádku. Adresování buňky tak probíhá ve dvou fázích adresace řádku a adresace sloupce. Adresní piny čipu jsou společné pro řádek i sloupec a jejich význam se určuje signály RAS (Row Address Select) a CAS (Column Address Select). V případě pamětí s vícebitovým výstupem, například s organizací N x 8, je na čipu umístěno vedle sebe větší množství paměťových matic M7 M0 s koincidenčním adresováním, z nichž každá má vlastní datový výstup. Ukázka paměti

35 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 35 s organizací Nx8 je na obr. 19. Jednotlivé matice M7 až M0 pak nejsou čtvercové. Počet adresovacích signálů, které se využívají pro dekódování sloupců, většinou určuje také velikost stránky (page), a to u pamětí, u nichž se používá stránkový pětistup (např. FLASH, EEPROM). Dále se budeme věnovat jen popisu polovodičových pamětí v technologii MOS (NMOS a PMOS). Paměťové matice M 0 M 1 M 2 M 3 M 4 M 5 M 6 M 7 adresa Dekodér řádků Adresovací slovní vodič Bitové vodiče Výstupní obvod Dekodér sloupců + výstupní budiče O 0 O 1 O 2 O 3 O 4 O 5 O 6 O 7 Data Obr. 19: Paměť s organizaci N x 8 Paměti ROM v technologii MOS mají obsah pevně dán maskami použitými při výrobě polovodičového čipu v počáteční fázi výroby, kdy se pomocí masek určují místa pro růst tlusté vrstvy SiO 2. V těchto místech se nevytvoří funkční paměťové MOS tranzistory, protože mezi kanálem a řídící elektrodou G je velká vzdálenost. V místech, kde nedojde k takovému růstu vrstvy SiO 2 naopak vznikne tranzistor s malou tloušťkou vrstvy, která umožňují jeho sepnutí. Takovéto paměti jsou označovány jako maskou programovatelné ROM (mask programmable) a jejich výroba se vyplatí až při sériích větších než 10 tis. kusů. Ukázka paměťové buňky ROM v MOS je na obr. 20. Přivedením signálu na vodič výběru sloupce C a řádku W, vybraný MOS paměťový tranzistor T P sepne a na bitovém vodiči B generuje úroveň L. Tato úroveň se přes spínací tranzistor T C přenese na výstup obvodu. Pokud nelze tranzistor T P sepnout (např. z důvodu větší vrstvy SiO 2 ), bude na bitovém vodiči B úroveň H. Nevýhodou pamětí ROM je doba nutná pro proběhnutí zákaznických čipů všemi technologickými operacemi. Tuto dobu lze zkrátit přípravou pamětí se všemi funkčními tranzistory a jejichž funkčnost se omezí až několika zákaznickými maskami v konečné fázi výroby. [6]

36 36 FEKT Vysokého učení technického v Brně a) Uložení úrovně L b) Uložení úrovně H Obr. 20: Paměťová buňka MOS ROM Paměti PROM 3 Alternativou k pamětem ROM jsou programovatelné paměti PROM (Programmable ROM) nebo také OTP (anglicky One Time Programmable) je elektricky "jednorázově" programovatelná permanentní paměť. Tato paměť se používá obdobně jako paměť ROM. Každý bit paměti PROM je při programování možné přepsat z logické úrovně H na hodnotu L, avšak tato změna je nevratná. Využívá se toho, že MOS tranzistory v paměťové matici mají mimo řídicího hradla ještě tzv. plovoucí hradlo - floating gate (FG), které je izolováno od kanálu a řídící elektrody. Přítomnost náboje elektronů na plovoucím hradle ovlivňuje svým polem kanál, což modifikuje prahové napětí tranzistoru. a) VA charakteristika b) tranzistor s plovoucím hradlem Obr. 21: Tranzistor s plovoucím hradlem Uložení informace Řídicí elektroda G (Gate) je připojena na vybraný adresovací slovní vodič W se zvýšeným napětím obvykle okolo 12 V. Pokud je na elektrodě D, která je připojena na vybraný bitový vodič B kladné napětí 3 Paměť PROM vynalezl v roce 1956 Wen Tsing Chow, který pracovat pro vojenskou divizi amerického Bosch Arma Corporation Garden City, New York. Výzkum byl placen letectvem Spojených států, které potřebovalo pružný a bezpečný způsob ukládání konstant u střel.

37 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 37 (řádově okolo 5 až 6 V), protéká indukovaným kanálem typu N od elektrody S k elektrodě D velký proud, elektrony mají velkou energii a působením elektrického pole mezi kanálem a řídicí elektrodou G dojde k přeskočení tzv. horkých elektronů z kanálu přes izolační bariéru na plovoucí hradlo, kde zůstanou. Pokud je na elektrodě D nulové napětí, kanálem neteče proud a nedojde k přeskoku elektronů a naprogramování paměťové buňky. Čtení informace Při normálním režimu čtení paměťové buňky je na elektrodě D napětí řádově 1 V a na elektrodě G ve vybraném řádku 5 V. Přítomnost náboje na plovoucím hradle ovlivňuje indukovaný kanál a je potřeba větší napětí na elektrodě G (mezi 7 9 V), aby kanálem protékal proud podle obr. 21a. Toto napětí způsobí u nenaprogramovaných buněk větší proud. Ten se vyhodnocuje jako uložený stav H, malý proud indikuje uložený stav L. Obr. 22: Programování tranzistoru s plovoucím hradlem Dnes je vzhledem k nízké ceně mazatelných pamětí použití tohoto typu paměti méně časté. Paměť se vyráběla jen v malých kapacitách paměti (cca. 32byte až několik kilobyte) jako levná varianta paměti proti (tehdejším) cenám mazatelných pamětí EPROM/EEPROM. Starší technikou programování pamětí PROM bylo přepalování elektronických propojek, novější paměťové buňky PROM jsou technologicky velmi podobné pamětem EPROM/EEPROM, pouze neobsahují části dovolující mazání. U nových zařízení se spíše než termín PROM používá označení OTP (One Time Programable). OTP buňky jsou v podstatě paměti EPROM umístěné do levného pouzdra bez možnosti mazání. [6] Paměti EPROM EPROM (Erasable Programmable Read-Only Memory) jedná se o energeticky nezávislou polovodičovou paměť typu ROM, jejíž obsah je mazatelný ultrafialovým zářením (UV). Dopadem záření o vlnové délce 257,3 nm a energii >15Ws/cm 2 na polovodičový čip s tranzistory s plovoucím Obr. 23: Ukázka pouzdra paměti EPROM hradlem získají elektrony na plovoucím hradle velkou energii a dojde k jejich odvedení z plovoucího hradla. V mazacím zařízení se obvykle při hustotě výkonu 12 mw/cm 2 během 20 minut odstraní z plovoucího hradla celý náboj a uložená informace je tak vymazána. Operaci mazání je možné opakovat řádově 100 krát. Doba programování se pohybuje okolo 100 µs/byte.

38 38 FEKT Vysokého učení technického v Brně Obr. 24: Blokové schéma paměti EPROM Paměti PROM a EPROM se vyrábějí s organizací N x 8, N x 16 bitů a obvykle mívají obě verze shodné označení. Jako příklad si můžeme uvést paměť M27C4001, která má organizaci 512k x 8, tedy 4 Mbit. Dodává se ve verzi OTP i EPROM, o kterou verzi se jedná, poznáme podle kódu součástky. Paměti EPROM mají v kódu písmeno W (Window). Součástí katalogového listu je popis programovacího algoritmu, který se může u jednotlivých výrobců lišit. Ukázka pouzdra s mazacím okénkem je na obr. 23. Blokové schéma paměti EPROM je na obr. 24. Programování z výroby mají všechny paměťové buňky nastavenu logickou úroveň H, data se programují selektivně zápisem log úrovně L do požadovaných bitů. Změna stavu z L do H je možná pouze pomoci ultrafialového záření. Paměť přejde do programovacího režimu přivedením napětí 12,75 V na programovací pin V PP. Data se programují po 8 bitech, které jsou zaráz přivedeny na datový výstup. Důležité je také dodržet pořadí a úrovně řídících pinů OE (Output Enable) a CE (Chip Enable). Mazání paměť EPROM se maže pomoci ultrafialového záření o vlnové délce 257,3 nm, o definované hustotě záření a energii a po definovanou dobu. V běžném pokojovém světle v rozsahu K by mazání paměťového čipu trvalo až tři roky, na přímém slunečním světle dojde ke smazání paměti přibližně do jednoho týdne. Dnes se paměti EPROM používají v nových konstrukcích pouze výjimečně, a to hlavně ve verzi OTP. Z důvodu klesání vodivosti kanálu paměťového tranzistoru je omezená také živostnost paměťových buněk Paměti EEPROM EEPROM (Electrically Erasable Programmable Read-Only Memory) jedná se o energeticky nezávislou polovodičovou pamět typu ROM s možností zápisu, smazání a přepisu dat. Pro tyto paměti se také někdy používají zkratky E 2 PROM, specifickým druhem těchto pamětí jsou paměti FLASH, které jsou levnější na výrobu. Další výhodou paměťových buněk EEPROM je nejmenší velikost sektoru, kterou je možné vymazat. Například u rodiny pamětí S12G firmy Freescale je možné mazat sektor o velikosti 4 byty.

39 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 39 Základ pamětí EEPROM tvoří tranzistor s plovoucím hradlem viz. obr. 21, který je možné programovat/mazat/přepisovat pomoci elektrických signálů. Používá se několik forem paměťové buňky, např. tranzistor vyroben v technologii MNOS (Metal Nitrid Oxide Semiconductor). Jedná se o tranzistory, kde plovoucí hradlo tvoří vrstva nitridu křemíku (Si 3 N 4 ) nanesená na tenké vrstvě oxidu křemičitého (SiO 2 ). Snížením tloušťky dielektrika pod plovoucím hradlem a změnou tvaru sktruktury u elektrody drain se dosáhne toho, že lze odsávat elektrony z plovoucího hradla. Změna Obr. 25: Buňka EEPROM náboje se pak provádí zvýšením napětí na řídící elektrodě CG (Control Gate), elektrony se přenášejí Fowler-Nordheimovým tunelováním z elektrody drain na řídící elektrodu CG (viz. obr. 25). Protože k tunelování dochází při velké intenzitě elektrického pole mezi těmito elektrodami, není nutný žádný proud kanálem. Proces tunelování je relativně pomalý a pomalé je tedy i programování paměťových buněk. Čas zápisu jednoho slova do paměti se pohybuje okolo 100 µs. Při opačné polaritě pole dochází tunelováním k odstranění elektronů a vymazání paměťové buňky. Mazání sektoru se pohybuje u stejného typu paměti okolo 10 µs. EEPROM paměti jsou obvykle považovány za paměti pomalé, protože převážně podporují pouze jedno bajtové (single byte) operace. Vzhledem k relativně dlouhé době programování je nutná kontrola ukončení programovacího cyklu. Algoritmus kontroly se liší podle výrobce a konkrétního typu paměti. Životnost paměťových buněk je omezená, obvykle se pohybuje okolo 500 tisíc přepisů. [6] Paměti FLASH Flash paměti se stejně jako paměti EEPROM mohou programovat i mazat přímo v zařízení bez nutnosti používat externí programátor. Jednotranzistorová buňka vychází z pamětí EPROM a využívá se tranzistor s plovoucím hradlem. Mazání je umožněno změnou tvaru elektrody S (Source). Programování probíhá injekcí horkých elektronů z kanálu při přivedeném zvýšeném napětí na řídicím hradle. Elektrické mazání probíhá při uzemněném řídicím hradle a zvýšeném napětí na elektrodě Source, které způsobí tunelování elektronů z paměťového tranzistoru. Tranzistor se tak uvede do původního stavu. Na rozdíl od pamětí EEPROM se u pamětí flash provádí mazání nikoliv po jednotlivých buňkách, ale po celých blocích. V současné době se využívají víceúrovňové tranzistory MLC (Multi Level Cell), které jsou schopny uložit více než jeden bit. Princip je založen na zjišťování úrovně nabití plovoucího hradla, na rozdíl od předchozích generací, kdy se detekovala pouze přítomnost náboje. a) Programování b) mazání Obr. 26: Paměť flash

40 40 FEKT Vysokého učení technického v Brně Pro uložení/mazání informace z/do paměťové buňky je zapotřebí napětí okolo 12 V, přesto pro napájení paměťových čipů je vyžadováno pouze jedno napájecí napětí, často na úrovni 3,3 V nebo 1,8 V. Většina paměťových čipů proto v sobě obsahuje nábojovou pumpu (charge pump), která zajistí vygenerování potřebného programovacího napětí. Nábojová pumpa ale spotřebovává více než polovinu dodávané energie do paměťového čipu, proto se v komplexních paměťových zařízeních, jako jsou například disky SSD (Solid-state drive), využívá samostatný čip, generující programovací napětí pro všechny obvody. V tomto případě lze využít měničů typu Boost, které jsou výrazně účinnější. Pro svou činnost ale potřebují napájecí napětí U DD. Obecně lze programovat jednotlivý byte paměti, ale mazat lze jen celá paměť (bulk erase), popřípadě její sektor nebo blok. Je to dáno konstrukcí jednotlivých paměťových tranzistorů, protože elektrody S, na které se připojuje zvýšené kladné napětí, jsou v daném sektoru propojeny. Obvykle se tyto sektory nazývají jako stránky (Page). a) NAND b) NOR Obr. 27: NAND x NOR flash Paměti Flash dělíme na dvě základní skupiny, a to NOR a NAND flash: NOR Flash, které poskytují rozhraní s vyhrazenými adresovými a datovými vodiči, tzn., že umožňují přímý přístup k dané paměťové buňce. Chovají se tedy jako paměti, které jsou mapované do určité části adresového prostoru. Díky tomu mají menší hustotu paměťových buněk, jsou pomalejší při zápisu, ale mají vyšší rychlost při čtení než paměti NAND Flash. Název je odvozen podle uspořádání tranzistorů, které odpovídá struktuře hradla NOR. NAND Flash, využívají jednoduchého připojovacího rozhraní, takže nevyžadují plnou šířku adresové a datové sběrnice. Data jsou multiplexována do osmi

41 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 41 vstupních/výstupních linek. Práce s NAND flash pamětí probíhá typicky v následujících krocích: o zaslání příkazu (např. read nebo write), o zaslání 4bytové adresy, vyjadřující odkud budou data čtena, resp. kam budou zapisována, o vyčkání, až flash paměť umístí požadovaná data do výstupního registru nebo zaslání zapisovaných dat, o přečtení, resp. zapsání dat Paměti MRAM Při použití klasických polovodičových paměťových buněk bojujeme s jejich nevýhodami a to převážně u Flash pamětí s jejich životností a rychlostí, u pamětí tapu SRAM nebo DRAM s principem jejich energetické závislosti. Výrobci se proto snaží o vyvinutí nových paměťových buněk, založených na jiném principu uložení informace. V posledních letech se hodně rozšířily integrované paměťové čipy MRAM (Magnetoresistance Random Access Memory), které využívají k uložení informace magnetického pole. Jedná se o nový typ paměťových buněk, které mají výhody pamětí Flash, ale rychlostí jsou srovnatelné s pamětmi SRAM. Mají odlišný postup čtení a zápisu logického stavu. Stav bitu ukládají pomocí změny orientace magnetického pole speciální paralelní struktury feromagnetického a dielektrického materiálu, pro čtení se využívá měření příčného elektrického odporu struktury. Odpor struktury se mění díky jevu magnetorezistence, a to podle uložené orientace magnetického pole při zápisu bitu (obr. 28). Obr. 28: Struktura tunelového přechodu MTJ Základem každé paměťové buňky (bitu) je speciální vertikální struktura dvou feromagnetických materiálů oddělených tenkou dielektrickou vrstvou oxidu hliníku. Tato struktura je označovaná jako magnetický tunelový přechod MTJ (Magnetic Tunnel Junction). Využívá se zde efektu tunelové magnetorezistence TMR (Tunnel Magnetoresistance Effect), kde v závislosti na vzájemné orientaci magnetického pole obou feromagnetických látek - volné magnetické vrstvy (Free Magnetic Layer) a pevné magnetické vrstvy (Fixed Magnetic Layer) se natáčí spin procházejících elektronů elektrického proudu. Tak se mění i příčný elektrický odpor celé této struktury. Magnetické pole proměnné magnetické vrstvy je tedy řízené vybuzeným magnetickým polem při zápisu logického stavu bitu. Pokud je směr magnetického pole proměnné feromagnetické vrstvy stejný s pevně daným směrem spodní magnetické vrstvy, je odpor kladený elektrickému proudu malý. Pokud naopak je vzájemná orientace polí opačná (proti sobě), je vertikální elektrický odpor struktury velký.

42 42 FEKT Vysokého učení technického v Brně Obr. 29: Struktura buňky MRAM Z pohledu čtení a zápisu se tedy jedná o dva různé pochody, kdy při zápisu se vnějším elektromagnetickým polem mění orientace (natočení) magnetických dipólů, zatímco při čtení se měří vertikální elektrický odpor struktury buňky. Struktura buňky MRAM je tedy o něco složitější než struktura buňky SRAM. Zatímco u klasických buněk pamětí RAM dochází s časem a se zjišťováním stavu bitu k úbytku náboje a je nutné po čase buňky tzv. regenerovat, u pamětí MRAM není nic takového nutné. Magnetická polarizace (orientace dipólů) není časem ani čtením stavu ovlivňována. Zápis do paměti - respektive změna logického stavu z L na H, nebo z H do L se provádí přivedením sekvence dvou vzájemně posunutých proudových obdélníkových pulsů na dva zápisové vodiče paměťové buňky. Tento princip se nazývá Savtchenkovo spínání (Savtchenko switching) a jedná se o tzv. přepínací zápisovou funkci (toggle function), kdy přesun z jednoho stavu do druhého se provádí pomocí úplně stejného zápisového signálu, viz. obr. 30. Použitím této přepínací funkce se odstraňují vzájemné rušící efekty mezi buňkami při zápisu úrovně L a H, které by vznikaly při jednovodičovém zápisu. Obr. 30: Struktura buňky MRAM Čtení paměti - čtení stavu bitu se provádí prostřednictvím horní společné elektrody a menší speciální čtecí elektrody napojené na protější stranu vertikální struktury. Princip čtení je založen na sepnutí izolačního tranzistoru (Isolation Transistor) čtené buňky a měření protékajícího proudu touto buňkou. Měřený proud je porovnáván s referenční hodnotou proudu protékajícího strukturou při stavu L. Pokud je zapsaný stav H, má buňka větší elektrický odpor a teče přes ni tedy menší proud. [7] 5.2 Paměti typu RWM Paměti RWM (Read Write Memory) jsou paměti určené pro opakované čtení i zápis. Paměti RWM jsou známy v několika provedeních, například jako poměti RAM (Random Access Memory), u kterých můžeme adresovat libovolnou buňku, nebo paměti se sekvenčním přístupem SAM (Sequential Access Memory), FIFO (First In First Out) nebo paměti SARAM

43 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 43 (Sequential Access Random Access Memory), které kombinují výhody obou typů. Základem těchto pamětí bývá bistabilní klopný obvod Paměti SRAM Paměti SRAM (Static Random Access Memory) uchovávají informaci v sobě uloženou po celou dobu, kdy jsou připojeny ke zdroji elektrického napájení. Paměťová buňka SRAM je realizována jako bistabilní klopný obvod, tj. obvod, který se může nacházet vždy v jednom ze dvou stavů, které určují, zda v paměti je uložena úroveň 1 nebo 0. Obr. 31: Buňka SRAM v technologii MOS U SRAM pamětí se používá dvou datových vodičů. Vodič Data (Bit line) je určený k zápisu do paměti. Vodič označený jako Data se používá ke čtení. Hodnota na tomto vodiči je vždy opačná než hodnota uložená v paměti, proto na konci paměťového bloku musíme uloženou informaci ještě negovat. Při zápisu se na adresový vodič umístí hodnota H. Tranzistory T 1 a T 2 se otevřou. Na vodič Data se přivede zapisovaná hodnota (např. 1). Tranzistor T 1 je otevřen, takže jednička na vodiči Data je přivedena na vstup invertoru tvořeného tranzistory T 4 a T 3. Výstup invertoru (T 4, T 3 ) tvoří vstup invertoru tvořeného tranzistory T 5 a T 6. Tento stav obvodu představuje uložení hodnoty 0 do paměti. Zcela analogicky tato buňka pracuje i při zápisu hodnoty 1. Rozdíl je pouze v tom, že výstup invertoru (T 4, T 3 ) je v logické úrovni H. Při čtení je opět na adresový vodič přivedena hodnota logická 1, což opět způsobí otevření tranzistorů T 1 a T 2. Jestliže byla v paměti zapsána hodnota 1, je na výstupu invertoru (T 4, T 3 ) hodnota 0. Tuto hodnotu obdržíme na vodiči Data. Opět zcela analogicky v případě uložené hodnoty 0, kdy tranzistor T 4 je uzavřen (tj. na jeho výstupu je hodnota 1) Paměti DRAM V paměti DRAM (Dynamic Random Access Memory) je informace uložena pomocí elektrického náboje na kondenzátoru. Vzhledem k velikosti paměťového kapacitoru C P je tento náboj velmi malý a má tendenci se vybíjet i v době, kdy je paměť připojena ke zdroji elektrického napájení. Aby nedocházelo k vybití, a tím i ke ztrátě uložené informace, je nutné informaci periodicky obnovovat tzv. refresh buňky. Tato funkce je realizována jako součást paměťového čipu. Jedná se o paměťovou buňku, která je destruktivní při čtení a přečtenou hodnotu je nutné opět do paměti zapsat.

44 44 FEKT Vysokého učení technického v Brně Obr. 32: Buňka DRAM v technologii MOS Zápis do paměti obecně si lze zápis představit tak, že na adresový vodič přivedeme hodnotu 1. Tím se otevře tranzistor T, na datovém vodiči je umístěna zapisovaná hodnota (např. 1). Tato hodnota projde přes otevřený tranzistor a nabije kapacitor. V případě zápisu nuly dojde pouze k případnému vybití kapacitoru (pokud byla v paměti uložena hodnota 1). V reálných podmínkách musíme vycházet z velmi malé kapacity paměťového kapacitoru. Proto se před každou operací zápisu všechny datové vodiče přednabijí (precharge) do definovaného stavu. Snímací zesilovače jsou nastaveny do nestabilního stavu a fungují jako komparátory. Ty se následně podle čtené informace překlopí do stabilního stavu, a tím obnoví uloženou informaci. Tato informace je pak snímána R/W zesilovačem, který zajišťuje její další zpracování. Čtení paměti - při čtení je na adresový vodič přivedena hodnota logická 1, která způsobí otevření všech tranzistorů připojených na adresový vodič W a připojení paměťových kapacitorů C P na datové vodiče B. Jestliže byl kondenzátor nabit, zapsaná hodnota přejde na datový vodič. Kapacita C P je velmi malá (menší než parazitní kapacita datového vodiče), proto i změna napětí je velmi malá a je nutné ji vyhodnotit pomoci snímacích zesilovačů. Snímací zesilovač je velmi podobný bistabilnímu klopnému obvodu viz. obr. 31. Tímto čtením dojde k vybití kondenzátoru a zničení uložené informace. Buňka paměti DRAM je velmi jednoduchá a dovoluje vysokou integraci, a má nízké výrobní náklady. Díky těmto vlastnostem je používána k výrobě operačních pamětí. Její nevýhodou je však vyšší přístupová doba (60-70 ns), způsobená nutností provádět refresh a časem potřebným k nabití a vybití kondenzátoru.

45 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 45 6 Druhy digitálních integrovaných obvodů Logický návrh číslicových systémů končí vypracováním logického schématu obvodu nebo zařízení. K vlastnímu návrhu je možné přistoupit až po vykonání dalších kroků, které se souhrnně nazývají fyzický návrh. V rámci fyzického návrhu se provádí statický i dynamický návrh obvodu, popřípadě větších celků. Fyzický návrh zpětně ovlivňuje návrh logický. Např. pokud při dynamickém návrhu zjistíme, že zvolené zapojení nevyhovuje zadaným dynamickým parametrům, nebo že je obvod navržen nevhodně z hlediska diagnostiky. Konečné zapojení získané po korekcích původního logického schématu musí nejen splňovat požadovanou logickou funkci, ale musí vyhovovat i požadavkům fyzického návrhu. Fyzický návrh vychází z možností pracoviště a ze zavedených zvyklostí. Při fyzickém návrhu postupujeme většinou s ohledem na nejméně příznivé podmínky. Cílem fyzického návrhu je bezpečná funkce navržených zařízení a jednoduchost návrhu. Většinu úkolů fyzického návrhu lze svěřit počítači vybavenému vhodným systémem automatizovaného návrhu. Některé úkoly ale vždy zůstanou pro návrháře. Prvním úkolem, který vyplývá z logického návrhu a předchází fyzický návrh, je stanovení použitého sortimentu integrovaných obvodů. Návrh použitých typů musí umožnit hospodárnou konstrukci zařízení, ale nesmí být příliš rozsáhlý. Důvod je zcela praktický, např. velký počet typů použitých součástek znamená rozsáhlou skladovou agendu. Především je ale nutné vygenerovat větší počet testů a připravit odpovídající testovací programy. Při výběru použitého sortimentu musíme brát v úvahu nejen výhodnost vybraného typu, ale také jeho reálnou dostupnost a aktuálnost. Základním stavebním blokem digitálních systémů je logický člen (hradlo). U daného typu logického členu je jeho logická funkce jednoznačně dána, ale jeho jednotlivé fyzické realizace se mohou i velmi podstatně lišit vnitřní strukturou a výrobní technologií. Obecně lze digitální obvody rozdělit na dvě velké skupiny, a to bipolární integrované obvody, unipolární integrované obvody. Bipolární technologie je nejstarší, do hloubky propracovaná a dříve hodně používaná skupina logických obvodů. Je založena na využití struktur, sestavených z bipolárních tranzistorů, které v zapnutém stavu pracují v saturaci nebo na mezi saturace (diodovětranzistorová logika DTL, tranzistorově-tranzistorová logika TTL 4, integrovaná injekční logika IIL, včetně rychlých a Schottkyho variant). Druhou významnou skupinu logických obvodů tvoří struktury s unipolárními spínacími tranzistory s izolovaným hradlem (logické obvody typu CMOS). Technologie CMOS 5 (Complementary Metal Oxide Semiconductor) je dnes používaná na převážnou většinu integrovaných obvodů. Používá se na výrobu čipů včetně mikroprocesorů, jednočipových počítačů a elektronických pamětí, ale také například na obrazové senzory. Výraz complementary nebo někdy také complementary-symetric se vztahuje k symetricky se doplňujícím tranzistorům MOSFET typu n a p obvykle používaných pro logické funkce v této technologii. 4 Obvody TTL byly vynalezeny v roce CMOS obvody byly vynalezeny roku 1967 Frankem Wanlassem ze společnosti Fairchild Semiconductor. První integrované obvody s touto technologií byly vyrobeny v roce 1968.

46 46 FEKT Vysokého učení technického v Brně Úspěšnou realizaci logických celků podmiňuje dobrá vzájemná spolupráce a kompatibilita logických členů i složitějších integrovaných obvodů. Spolupráci a návaznost jednotlivých integrovaných obvodů zajišťují základní parametry, udávané výrobci pro nejnepříznivější podmínky: U IH min - minimální hodnota vstupního napětí úrovně H, U IL max - maximální hodnota vstupního napětí úrovně L, U OHmin - minimální hodnota výstupního napětí úrovně H při proudové zátěži I OHmax, U OLmax - maximální hodnota výstupního napětí úrovně L při proudové zátěži I OLmax, I ILmax - maximální hodnota vstupního proudu při úrovni L, I IHmax - maximální hodnota vstupního proudu při úrovni H. I OLmax - maximální hodnota výstupního proudu při úrovni L, I OHmax - maximální hodnota výstupního proudu při úrovni H. Obr. 33: Definice základních parametrů 6.1 Bipolární integrované obvody Základem každého typu digitálních integrovaných obvodů je možnost realizace logických funkcí. Nejjednodušší vstupní obvod součinového a součtového logického členu v bipolární technologii lze vytvořit pomoci dvou diod, zapojených podle obr. 34. Pokud budeme napětí blízké nule považovat za logický stav L a dostatečně velké napětí za logický stav H, pak napětí U out bude v úrovni L, pokud alespoň jeden ze vstupů bude v úrovni L. Logická úroveň H bude dosažena pouze v případě, kdy na obou vstupech bude logická úroveň H.

47 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 47 a) součinový b) součtový Obr. 34: Realizace log. fce pomoci diod Nevýhodou realizace logických funkcí pomoci diod je neexistence prvku negace a zesílení, proto na obvod logického součinu navazuje tranzistorový zesilovač. Principiálně stejné je potom zapojení obvodů TTL. Základní stavební jednotkou obvodů TTL je logický člen NAND s několika vstupy. Z obr. 35 je zřejmá vnitřní stavba dvouvstupového členu NAND. Jedná se pouze o principiální zapojení, zjednodušené do základní funkční podoby. Zapojení můžeme analyzovat buď z hlediska logické funkce obvodu (víme, že jde o funkci NAND, tedy o negovaný logický součin Y = A. B vstupních signálů) nebo z hlediska elektrického chování obvodu při změně napěťových úrovní vstupních signálů A a B. U c = +5 V 7400 R 1 4K R 2 1K6 R 4 130R u BE T1 T 4 A B i vst T 2 D i výst u A u B D A D B R 3 1K T 3 u výst Obr. 35: Vnitřní zapojení TTL hradla typu 7400 Statické (stejnosměrné) vlastnosti daného logického členu lze dobře posoudit z průběhu jeho vstupních, převodních a výstupních charakteristik. K výkladu o charakteristikách je ještě nutné si uvědomit, že ve skutečném zapojení členu podle obr. 35 jsou k oběma vstupům úmyslně zapojeny ochranné omezovací diody D A, D B, které ochraňují jeho vstupní obvod před nežádoucím účinkem případného záporného napětí přivedeného na vstup. Bez těchto ochranných diod by totiž záporné napětí otevřelo substrátové diody, které

48 48 FEKT Vysokého učení technického v Brně by se prorazily a obvod by se zničil. V obvodu však je nutno brát v úvahu ještě další substrátové diody, které v normálním provozním režimu izolují systém logického členu od podložky a které vznikají při technologickém zpracování integrovaného obvodu. Existují mezi kolektorem každého tranzistoru a substrátem, ke kolektorům jsou připojeny katodou, ke společnému vodiči anodou. Z těchto substrátových diod se z hlediska vnějšího chování obvodu projevuje zejména dioda vytvořená mezi výstupem logického členu a substrátem, která se otevírá při nestandardním zatěžování výstupu ze zdroje záporného napětí přes zatěžovací rezistor. [1,6,8,9,11,12,13] Vstupní statická charakteristika Vstupní statická charakteristika i vs t=f(u vst ) běžného jednovstupového logického členu (invertoru) je uvedena na obr. 36. Tutéž charakteristiku můžeme naměřit pro vícevstupová hradla, budíme-li pouze jeden vstup a ostatní připojíme na potenciál logické jedničky, přičemž zanedbáváme vstupní proudy, tekoucí těmito vstupy. i vst 2 ma i výst 1 50 ma V u vst stav 0 na výstupu V u výst stav 1 na výstupu Obr. 36: a)vstupní a b) výstupní charakteristika hradla TTL [1,12] Je-li sledovaný vstup členu, tj. emitor tranzistoru T 1 spojen se společným vodičem, bude tranzistor T 2 uzavřen a kolektorem vstupního tranzistoru neprotéká proud, tedy i i1 0. Na tvorbě vstupního proudu se v tomto případě podílí pouze proud tekoucí do báze tranzistoru T 1. Pro jeho velikost platí i vst = i B1 (U C u BE1 )/R 1 (9) a odpovídající část vstupní charakteristiky má proto přibližně přímkový charakter se směrnicí i vst u vst 1 R 1. Odklon od lineárního průběhu pak nastává při zvyšování vstupního napětí, při němž se tranzistor T 2 začíná otevírat, protože do jeho báze začíná vtékat proud. Při i vst = 0 veškerý proud rezistorem R 1 teče do báze tranzistoru T 2. V tomto případě jsou tranzistory T 2 a T 3 v

49 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 49 saturaci, napětí u B2 = 1,3 až 1,5 V. Při dalším zvyšování vstupního napětí přechází vstupní tranzistor T 1 do inverzního režimu, a při jeho nulovém emitorovém proudu i vst = 0, bude mezi jeho kolektorem a sledovaným emitorem minimální napětí u CES1 = U T. ln (1+h 21E ) h 21E = U T. ln 1 α N (10) kde ß i je proudový zesilovací činitel v inverzním režimu. Pro U T 25 mv a N = 0,98 bude u CES1 0,5 mv. Rozhodovací vstupní napětí je tedy rovněž asi 1,3 až 1,5 V. Další zvyšování vstupního napětí logického členu se projeví (U v inverzně pracujícím tranzistoru T 1 tokem proudu i vst β i. i B1 = β c 3u BE ) i 18 μa. Při dalším zvětšování vstupního napětí se vstupní proud téměř nemění, až při vstupním napětí 7 až 8 V se prorazí přechod emitor-báze tranzistoru T 1. Proto výrobce nepřipouští vstupní napětí hradla větší než 5,5 V. Budeme-li naopak přivádět vstupní napětí záporná, bude až do napětí asi -0,7 V tvar charakteristiky lineární, sklon odpovídá hodnotě i vst u vst 1 R 1. Při zápornějších napětích se otevírá vstupní dioda D A, nebo D B a určuje spolu s otevřeným tranzistorem T 1 tvar vstupní charakteristiky. Z hlediska přípustného ztrátového výkonu musí být velikost vstupního proudu omezena na 10 až 15 ma Výstupní statická charakteristika Výstupní charakteristika i výst =f(u výst ) logického členu TTL je rozdílná pro dva možné logické stavy L a H na výstupu. Na obr. 36 jsou uvedeny obě křivky tvořící výstupní charakteristiku. Má-li být na výstupu napětí odpovídající logické nule, je tranzistor T 3 nasycen a v oblasti kladných výstupních napětí určuje jeho charakteristika i C3(u CE3) průběh výstupní charakteristiky členu. Při malých výstupních proudech je u výst = u CE3 0,1 V u VÝST. Se zvětšováním výstupního proudu roste i výstupní napětí. Výstupní dynamický odpor hradla je tedy určen odporem nasyceného tranzistoru T 3. Jeho hodnota je asi 10 až 15 Ω. V oblasti výstupního proudu i výst 140 ma výstupní napětí prudce vzrůstá, neboť tranzistor T 3 přechází z nasyceného stavu do aktivního režimu. V oblasti záporných napětí závisí průběh charakteristiky na vlastnostech substrátové diody, která je katodou připojena ke kolektoru T 3 a anodou na společný vodič. Tato dioda je významná také z hlediska odrazů na nepřizpůsobených vedeních a z hlediska odolnosti vůči rušení. Má-li být na výstupu logická jednička, je tvar výstupní charakteristiky logického členu určen charakteristikou tranzistoru T 4. Při velkých napětích na výstupu teče výstupem nepatrný proud, tranzistor T 4 je uzavřen. S klesajícím napětím u výst se velikost výstupního proudu zmenšuje a při výstupním napětí 3,3 až 3,5 V je proud nulový, protože zbytkový proud tranzistoru T 4 se kompenzuje proudem tekoucím z tranzistoru T 3. Při dalším snižování výstupního napětí se tranzistor T 4 dostává do aktivního režimu a výstupní dynamický odpor hradla klesá z několika megaohmů asi na 70 až 80 Ω. V oblasti menších výstupních napětí je tranzistor T 4 v nasyceném stavu a dynamický výstupní odpor je asi 160 Ω. Při nulovém výstupním napětí teče obvodem zkratový proud a při záporných napětích se opět uplatňuje substrátová dioda na výstupu. R 1

50 50 FEKT Vysokého učení technického v Brně Obr. 37: Převodní charakteristika bipolárního hradla Převodní statická charakteristika PŘEVODNÍ CHARAKTERISTIKA u výst = f(u vst ) vystihuje závislost mezi vstupním a výstupním napětím logického členu a její typický průběh pro hradlo NAND TTL je uveden na obr. 37. Tvar charakteristiky závisí zejména na velikosti napájecího napětí obvodu, na charakteru připojené zátěže a na pracovní teplotě obvodu. Na obr. 37 jsou šrafováním vyznačeny oblasti, do kterých pro daná vstupní napětí (u vst < 0,8 V a u výst > 2 V(u VSTL < 0,8 V) nesmí výstupní napětí u výst zasáhnout. Na převodní charakteristice jsou zřetelné čtyři typické oblasti. Pro malá vstupní napětí do 0,6 až 0,8 V jsou tranzistory T 2 a T 3 uzavřeny a výstupní napětí je konstantní v úrovních logické jedničky, u výst = 3,3 až 3,7 V. Při zvětšování vstupního napětí se otevírá tranzistor T 2 a jeho napěťovým zesílením R 2 1,4 R 3 je přibližně určen sklon převodní charakteristiky v oblasti klesajícího výstupního napětí. Při vstupním napětí přibližně 1,3 V se začíná otevírat i výstupní tranzistor T 3. Protože je připojen paralelně k rezistoru R 3 a jeho vstupní odpor R VST klesá, zvětšuje se zesílení tranzistoru T 2 R úměrně poměru 2. Charakteristika je proto od napětí u (R 3 R vst3 ) vst = 1,3 V strmá, absolutní hodnota napěťového zesílení členu je velká. Další zvětšování vstupního napětí způsobí rychlý pokles výstupního napětí na hodnotu saturačního napětí výstupního tranzistoru T 3, takže na výstupu členu je zaručena přibližně konstantní úroveň napětí logické nuly s typickým napětím u výst 0,2 V Dynamické vlastnosti Rychlá změna výstupního napětí členu při zpětném snižování vstupního napětí v okolí hodnoty u vst = 1,3 V je dynamickým jevem, kdy se tranzistor T 4 otevírá dříve, než stačí přejít tranzistor T 3 ze stavu nasycení do stavu zahrazení. Po určitou dobu tedy vedou tranzistory T 3 a T 4 současně a výstupním obvodem protéká zkratový proud, jehož špičková hodnota dosahuje u standardní řady 54/74 TTL až 25 ma. Doba trvání tohoto proudového impulsu, který má tu výhodu, že se jím intenzivně odvádí prostorový náboj z předtím saturovaného tranzistoru T 3, závisí na velikosti kapacitní zátěže výstupu a strmosti hrany budícího vstupního napětí. Tyto proudové impulsy mohou však vyvolat v připojených obvodech přídavné rušení. Proto je nutno opatřit rozvod napájecího napětí dostatečným

51 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 51 počtem filtračních kapacitorů, které pokryjí impulsní nároky na dodávanou energii a zabrání dalšímu šíření těchto proudových špiček. Dynamické parametry obvodů TTL udává výrobce nepřímo, a to pomocí typických časových zpoždění reakce výstupu logického členu při skokové změně logické hodnoty vstupního signálu. Např. pro řadu 74 obvodů TTL udává výrobce dobu zpoždění reakce logického členu při přechodu z úrovně L na úroveň H hodnotou t PLH < 22 ns a při přechodu z úrovně H na úroveň L hodnotou t PLH < 15 ns Další varianty obvodů TTL Další varianty obvodů byly vyvinuty s cílem buď zmenšit příkon nebo zmenšit zpoždění signálu nebo v optimálním případě zmenšit i příkon i zpoždění. Tak vznikly v řadě 54/74 varianty L, LS, ALS, H, S, AS. Podstatné omezení rychlosti obvodů TTL vyplývá z časového zpoždění, které je nutné pro přechod tranzistoru z nasyceného stavu do stavu zahrazení. Rychlé logické obvody TTL proto používají ke zvýšení rychlosti Schottkyho tranzistory, což jsou tranzistory mající mezi kolektor a bázi připojenu Schottkyho desaturační diodu, která zabraňuje přechodu tranzistoru do nasycení. Rychlost členu je také zvýšena zmenšením odporu jeho pracovních rezistorů. Typické parametry hradla TTL jsou zpoždění okolo 10 ns a výkonová ztráta hradla okolo 10 mw. Většina bipolárních obvodů TTL je v dnešní době nahrazena obvody v technologii unipolární, pro přehled zde ale uvedeme ukázky v rozdílech parametrů jednotlivých obvodových řad. Následující tabulka udává výkonové parametry logických hradel TTL na jeden logický člen a průměrného časového zpoždění t d [ns]. Maximální kmitočet f m se zpravidla uvádí pro hodinový pravoúhlý signál klopného obvodu realizovaného danou technologií. Při změně pracovního kmitočtu se částečně mění i odebíraný příkon Pd. Hodnoty v tabulce jsou pouze orientační, konkrétní hodnoty vždy závisí na konkrétním výrobci (výrobní technologii). Tab. 9: Srovnání vlastností používaných řad obvodů TTL a CMOS TTL S AS LS ALS FAST CMOS HCMOS Příkon hradla [mw] ,5 2 1,2 5,5 1µW (100µW) 3nW (75µW) Příkon čítače [mw] µW (120µW) Zpoždění hradla při [ns] C L = 15pF 7 3 1, ,6 100 t PHL ,7 9 4,5 2,9 100 t PLH Průměrné zpoždění hradla t P - typické - minimální Součin zpoždění a příkonu při 100kHz [ns] ,5 2,5 9, ,5 2, nW (125µW) [pj] ,8 16,5 9 0, Mezní hodinový kmitočet Klopný obvod D - typický - minimální [MHz] Čítač - typický - minimální

52 52 FEKT Vysokého učení technického v Brně Vstupní proud pro U IL = 0,4V [ma] 1,6 2 0,5 0,4 0,1 0,6 1µA 1µA Výstupní proud pro U OL = 0,4V běžné hradlo výkonové hradlo Logický zisk pro zátěž LS běžné hradlo výkonové hradlo [ma] ,8 1, Ve sloupci CMOS je uvažována řada s hliníkovým hradlem 4000B, ve sloupci HCMOS je uvažována řada 74HCT. Napájecí napětí 5 V. Údaje v závorkách se týkají příkonu při kmitočtu vstupního signálu 100kHz. Tab. 10: Logický zisk pro různé kombinace jednotlivých řad obvodů TTL Řada Počet buzených hradel řady výkonové 74S 74S výkonové 74LS 74LS výkonové 74ALS 74ALS výkonové S. 74LS. 74ALS Je uvažována diodová varianta vstupu hradla řady LS. Uváděné hodnoty jsou pouze orientační. Odolnost proti rušení je pouze zdánlivě rozdílná: při úrovni H je výstupní odpor větší než při úrovni L. Zůstává ovšem skutečností, že obvody řady LS jsou citlivější na rušení než standardní řada, a to v důsledku vyšších vstupních a výstupních odporů. [1,11,12,13] Shrnutí základních vlastností logických bipolárních IO Základní vlastnosti logických bipolárních IO lze stručně shrnout takto: 1. Jednoduchý digitální model bipolárního tranzistoru představuje spínač, který je buď sepnutý, nebo rozepnutý a je ovládán bázovým proudem nebo napětím. Když je spínač rozepnutý, je odpor mezi kolektorem a emitorem vysoký a může být považován za rozpojený obvod. Když je spínač sepnutý a tranzistor pracuje v aktivním módu, tranzistor je nahrazen ekvivalentním proudovým zdrojem. Když tranzistor pracuje v saturaci, je nahrazen stejnosměrným (saturačním) napěťovým zdrojem v sérii se saturačním rezistorem. 2. Napětí přikládané na bázi tranzistoru potřebné k jeho sepnutí není závislé ani na technologických parametrech ani na vnějších provozních parametrech. Typická hodnota napětí potřebná k jeho sepnutí se pohybuje v rozmezí 600 až 800 mv. 3. Z hlediska činnosti bipolárního tranzistoru je významné především to, zda tranzistor pracuje v aktivním nebo saturačním módu. Za předpokladu, že vstup logického obvodu je tvořen bází tranzistoru, pak je aktivní mód charakterizován tak, že vstupní proud je právě postačující k tomu, aby bázový proud byl schopen udržovat kolektorový proud právě na

53 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 53 hodnotě odpovídající vztahu I B = I c β F. Když bipolární tranzistor pracuje v saturaci, vstupní proud je v tomto případě podstatně vyšší, takže pro bázový proud platí: I B = I c β F + I X. Zvýšení bázového proudu o složku I X přináší další náboj do tranzistoru, důsledkem čehož je snížení spínací rychlosti. 4. Základní spínací součástkou v bipolárních IO je tranzistor NPN. Komplementární tranzistor PNP se využívá pouze ve funkci proudového zdroje, pro vytvoření požadovaného předpětí nebo jako zatěžovací prvek. Tranzistor PNP ve funkci spínače je v porovnání s tranzistorem NPN po všech stránkách podstatně horší a proto se jako spínač nevyužívá. 5. Tranzistor NPN lze využít i jako přenosové hradlo, podobně jako tranzistory MOS. Jeho přenosová charakteristika je však silně nesymetrická. Tranzistor NPN ve funkci přenosového hradla je např. využit v logickém hradle TTL u jeho výstupního tranzistoru. 6. S růstem počtu horních difúzních oblastí N + ve struktuře tranzistoru se zvětšuje i jeho funkční logická schopnost, přičemž se s růstem počtu oblastí N + výrazně nezvyšují nároky na plochu tranzistoru. Toto se s výhodou využívá např. v logice TTL, kde vstupní tranzistor má více emitorů. 7. Minimální hodnota požadovaného napětí U CE pro činnost tranzistoru se pohybuje v rozsahu spínacího napětí U ON emitorového přechodu ( 600 až 800 mv), proto mohou být všechny druhy bipolárních logik navrhovány na pracovní napětí v okolí 1V, což je samozřejmě velice výhodné. 8. Bipolární logické obvody mohou pracovat v dynamickém režimu podobně jako obvody MOS. Tato možnost se prakticky nevyužívá, protože tento režim nutně vyžaduje pro svoji činnost kapacitory, které se v bipolární technologii realizují relativně obtížně. 6.2 Unipolární integrované obvody Z obecného pohledu existují dvě skupiny unipolárních digitálních obvodů. První využívá tranzistory MOSFET na křemíku, druhá tranzistorů MESFET na GaAs. První skupina využívá tranzistory s kanálem jednoho typu vodivosti, jsou to tranzistory NMOS nebo PMOS. Jednoznačnou převahu z hlediska využití v IO mají však tranzistory NMOS, protože jsou v porovnání s tranzistory PMOS až dvakrát rychlejší. Ve druhé skupině se využívají komplementární dvojice tranzistorů CMOS. V současné době jsou digitální obvody v unipolární technologii vyráběny převážně ve struktuře s komplementárními tranzistory, tedy CMOS. V obou případech jsou základními stavebními prvky invertory skládající se ze dvou součástek s tzv. obvodovou konfigurací pull-up, pull-down (PUD). Spodní součástkou v obou skupinách je tranzistor NMOS, horní součástkou je v první skupině rovněž tranzistor NMOS nebo i rezistor a ve druhé skupině (CMOS) je touto součástkou tranzistor PMOS. A T1 T2 Y 0 V (L) VYP ZAP U dd (H) 5 V (H) ZAP VYP 0 (L) a) b) Obr. 38: Invertor CMOS a) zapojení b) náhradní schéma

54 54 FEKT Vysokého učení technického v Brně Integrované obvody CMOS mají v etapě VLSI (Very-large-scale integration) velmi významné zastoupení. Mají velkou výhodu v tom, že nespotřebovávají prakticky žádný příkon, když je obvod ve stavu L nebo v H. Invertor CMOS je na obr. 37. Obvody CMOS odebírají minimální energii na udržení stavu. Významným rysem těchto obvodů je skutečnost, že vstupní signál budí aktivní i zatěžovací tranzistory (každý aktivní tranzistor má svůj zatěžovací tranzistor). Úspora energie jde tedy na úkor plochy čipu, která je přibližně dvojnásobná než u obvodů NMOS. Invertor CMOS je tvořen sériovým spojením NMOS a PMOS tranzistorů obohacovacího typu. Jejich kolektory jsou spojeny a tvoří výstupní elektrodu. Vstup je vytvořen spojením obou hradel obr. 37 Když A = 0 V, pak U GS1 = 0 V (tj. na hradle tranzistoru NMOS je nulové napětí) a T 1 je uzavřen. Ovšem na hradle tranzistoru T 2, tj. PMOS je záporný potenciál vůči jeho emitoru, tj. U GS2 = -U DD a proto je tranzistor T 2 otevřen. Uvažujme, že A = U DD, pak U GS1 = U DD, tzn. tranzistor T 1 je otevřen. Na hradle tranzistoru T 2 je potenciál vzhledem k jeho emitoru U GS2 = 0 a proto je T 2 zavřen. Když A = L, pak U GS1 = 0 T 1 je uzavřen U GS2 = -U DD T 2 je otevřen, to znamená, že U DS2 = 0 a proto na výstupu Y bude Y = U DD, tj. logická úroveň H (I D1 = -I D2 = 0). Když A = U DD, tj. logická úroveň H, pak U GS1 = U DD T 1 je otevřen (U GS1 = 0 T 2 je uzavřen), to znamená, že U DS1 = 0 a proto na výstupu bude u 0 = 0, tj. log 0 (I D1 = -I D2 = 0). V obou logických stavech H nebo L je vždy jeden z tranzistorů uzavřen, (T 1 je otevřen, T 2 uzavřen nebo obráceně), proto neteče invertorem žádný proud a spotřeba je teoreticky nulová. V reálných podmínkách, kdy hradlo CMOS je v L nebo v H, tečou hradlem pouze parazitní proudy (v závěrně polarizovaných přechodech pn), které jsou řádově 10-9 až A a spotřeba je řádově nw/hradlo Stejnosměrná analýza invertoru CMOS Při analýze budeme vycházet z převodní charakteristiky invertoru CMOS znázorněné na obr. 39. Obr. 39: Převodní charakteristika invertoru CMOS 1. Když je na vstupu invertoru log 0, tj. u vst = U IL a U IL U TN, bude tranzistor T 1 (NMOS) nevodivý. Když dále platí, že U IL - U DD U TP, bude tranzistor T 2 (PMOS) otevřen. Napětí na výstupu invertoru CMOS bude na vysoké úrovni (log 1). U 0 = U OH = U DD U P (11)

55 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 55 kde U P je úbytek napětí na tranzistoru T 2 (PMOS), který lze vyjádřit vztahem: 1 U P = I D2. R P I D2., (12) β P.[(U DD U IL ) U TP ] kde I D2 je proud tekoucí tranzistorem T 2 (PMOS) do tranzistoru T 1 (NMOS) a do zátěže na výstupu invertoru. Když je invertor zatížen hradlem MOS, lze proud I D2 zanedbat (protože v tomto případě tečou pouze svodové proudy) a U OH U DD. Když proud I D2 nelze zanedbat, lze zvýšením hodnoty P pomocí rozměrů kanálu tranzistoru PMOS dosáhnout, že bude platit U P U DD a proto i U OH U DD. 2. Když je vstupu invertoru log 1, tj. u i = U IH a U IH U TN, bude tranzistor T 1 (NMOS) otevřen. Když platí, že U IH - U DD U TP, bude tranzistor T 2 (PMOS) zavřen. Napětí na výstupu invertoru bude na nízké úrovni U O = U OL = U N, (13) kde U N je úbytek napětí na tranzistoru T 1 (NMOS) a lze jej vyjádřit vztahem: 1 U N = I D1. R N I D1., (14) β N.[(U IH U TN )] kde I D1 je proud tekoucí tranzistorem T 1. Když je invertor zatížen hradlem MOS, pak lze proud I D1 prakticky zanedbat (protože v tomto případě tečou pouze svodové proudy). Když je však na výstup invertoru CMOS připojeno např. bipolární hradlo, proud I D1 již nelze jednoduše zanedbat. V tomto případě napětí na výstupu invertoru U 0L lze snížit zvýšením hodnoty N. Z uvedeného rozboru plyne, že logické úrovně u invertoru CMOS jsou blízké úrovni napájecího napětí U DD a úrovni země. Logický rozkmit hodnoty napětí dosahuje v tomto případě až velikosti srovnatelné s hodnotou U DD. To je jedna z velkých předností hradel CMOS. Hodnoty šumových imunit dosahují rovněž vysokých hodnot a pohybují se U DD /2, což je další velká výhoda hradel CMOS. V průběhu ustáleného stavu (log 1 nebo log 0) teče hradlem CMOS pouze svodový proud I SV a stejnosměrný ztrátový příkon je roven: P DC = U DD. I SV (15) Minimální hodnota napájecího napětí U DD, při které hradlo CMOS může pracovat ve funkci logického členu je určena hodnotami prahových napětí tranzistorů NMOS a PMOS. U DDmin = U TN + U TP. (16) Co se týká převodní charakteristiky, snažíme se, aby měla co největší strmost a pravoúhlé ohyby. Takový tvar zaručuje dobrou stabilitu invertoru v klidových bodech a velkou šumovou imunitu. Při u i = L je aktivní tranzistor nevodivý a zatěžovací tranzistor je vodivý, v důsledku toho výstupní napětí u 0 = u od U DD. Při u i = H je tomu naopak. Proud I protéká přes invertor (přes oba pootevřené tranzistory) pouze v čase přechodů vstupního napětí mezi úrovněmi H a L. Šumová imunita invertoru CMOS se rovná prahovému napětí. Strmá část charakteristiky není lineární, nýbrž je složena ze dvou parabolických úseků. Rozkmit logického signálu je prakticky roven napájecímu napětí. Z principiálního hlediska mohou obvody CMOS pracovat v širokém rozmezí napájecího napětí (např. 3 až 15 V).

56 56 FEKT Vysokého učení technického v Brně Šumová imunita Šum u digitálních obvodů nebo systémů vyjadřuje nechtěné změny napětí na místech, kde je požadována určitá logická úroveň. Šum je do příslušných uzlů přenášen pomoci nežádoucích kapacitních a induktivních vazeb. U IO jsou jedním z nejčastějších zdrojů šumu sériové indukčnosti a rezistence v přívodech země a napájení. Příliš velké šumové napětí způsobuje chyby v logické funkci obvodu. U IO obvodů je tedy zaveden pojen šumová imunita NM (Noise Margin), která je definována takto: NM L = U IL U 0L, (17) NM H = U 0H U IH, (18) a) Pro nasycený invertor je: šumová imunita log. 0 rovna NM L = U IL U 0L = 2,5 2,0 = 0,5V, šumová imunita log. 1 NM H = U 0H U IH = 3,8 4,0 = 0,2V. b) Pro nenasycený invertor: NM L = 2,5 1,7 = 0,8V NM H = 5,7 4,7 = 1,0V. c) Pro ochuzený invertor: NM L = 2,6 0,5 = 2,1V NM H = 5,8 3,4 = 2,4V. Hodnoty napětí U 0H, U 0L, U IH, U IL se určí pro jednotlivé invertory z převodních charakteristik na obr. 40 v bodech A a B, ve kterých má tečna směrnici= 1. Z uvedených hodnot lze stanovit šumovou imunitu. Obr. 40: Převodní charakteristika Vzhledem ke kvantifikaci napětí je zřejmé, že se šum na výstup vůbec nepřenese, pokud bude šumové napětí na vstupu hradla menší než NM L respektive NM H. Tato vlastnost je jedna ze základních odlišností digitálních systémů od systému analogových, kde je naopak šum akumulován. V dobře fungujícím digitálním systému je šum při průchodu jednotlivými stupni utlumen, zatímco logické hodnoty jsou vždy obnoveny do původní hodnoty. Pro určení

57 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 57 šumové imunity stačí znát maximální a minimální vstupní a výstupní úrovně U IL, U IH, U OH, U OL Stanovení výstupních úrovní Pro invertor CMOS platí, že vzhledem ke spojení řídicích elektrod obou tranzistorů (typu N a P) bude vždy jeden z tranzistorů uzavřen. Jelikož druhý tranzistor, u kterého existuje plně vodivý kanál, je spojen do série s tranzistorem v nevodivém stavu, znamená to, že touto kombinací může téci pouze zanedbatelný svodový proud. Jednou ze základních vlastností logických hradel v technologii CMOS je, že u nich v klidovém stavu neexistuje vodivé spojení mezi napájením a zemí. Jelikož úbytek napětí způsobený svodovým proudem je opravdu zanedbatelný, můžeme předpokládat, že platí U OL = 0 a U OH = U DD U OL = 0 a U OH = V DD (19) Uvažujme konkrétní případ. Napájecí napětí U DD = 5 V, prahové napětí NMOS tranzistoru U TN = 2 V; PMOS tranzistoru U TP = -2 V. Předpokládejme, že i proudové faktory K a poměr W/L jsou pro oba tranzistory stejné. Z převodní charakteristiky na obr. 39 je patrné, že pro u i 2 V je T 1 uzavřen, napětí U GS2-3 V T 2 je otevřen, výstupní napětí pro tento případ je u 0 = U DD = 5 V. Podobně pro u i 3, V U GS2-2 V (U GS2-2 V) T 2 je uzavřen, ovšem U GS1 3V T 1 je otevřen a napětí na výstupu u 0 = 0. Převodní charakteristika je podobná ideální charakteristice při u i = 2,5 V, u 0 = U DD /2 = 2,5 V. Obr. 41: Tranzistory T 1 a T 2 teče proud pouze v rozsahu vstupních napětí (2 u i 3), tj. při přepínání tranzistorů, tj. v dynamickém režimu, jak je patrné z obr. 41, který znázorňuje závislost napájecího proudu na vstupním napětí u vst Stanovení vstupních úrovní Závislost proudu I D na vstupním napětí U vst Napětí U IL a U IH jsou definována jako body na převodní charakteristice, pro které platí du O du I = 1. (20) Při vstupním napětí U IL nebo U IH je CMOS invertor ve stavu, kdy jeden z tranzistorů je v lineární oblasti a druhý v saturaci. Můžeme tedy použít náhradní zapojení na obr. 42. Maximální a minimální úroveň vstupního napětí určíme ze skutečnosti, že proudy oběma tranzistory se musí rovnat.

58 58 FEKT Vysokého učení technického v Brně Pro vyjádření proudu I DS ve třech oblastech činnosti tranzistoru můžeme napsat zjednodušené odvození následujících vztahů: 0 U GS U TR 0 I DS = β [(U GS U TR )U DS U DS 2 2 ] 0 < U DS < (U GS U TR ), (21) β 2 (U GS U TR ) 2 0 < (U GS U TR ) < U DS kde U TR je prahové napětí a U DS a U GS jsou napětí mezi elektrodami tranzistoru Drain Source a Gate-Source. Zesilovací činitel závisí na parametrech výrobního procesu a rozměrech tranzistoru a pro jeho výpočet platí β = με W T OX L, (22) kde L je délka a W šířka kanálu tranzistoru, je pohyblivost nosičů náboje v kanále tranzistoru (elektronů pro NMOS nebo děr pro PMOS) a je dielektrická konstanta (= r o ), která pro Si je r =3,9. Použijeme-li pro proud tranzistoru v lineární oblasti a v saturaci výraz (21), můžeme pro U IL psát β P [(U GSP U TP ) U DSP U DSP 2 2 ] = β N 2 (U GSN U TN ) 2, (23) po substitucích za U GSP = (U IN -U DD ), U GSN =U IN, U DSN =U O a U DSP = U O -U DD ) lze vyjádřit U O ve tvaru U O = (U IN U TP ) + [(U IN U TP ) 2 2 (U IN U DD 2 U TP) UDD β N β P (U IN U TP ) 2 ] 1 2 (24) Po derivaci podle rovnice (20) a zavedení substituce x = β N, dostaneme pro konkterétní β P zadané hodnoty U TN = 1 V, U TP = -1 V a U DD = 5 V U IL = x x x + 3 x pro x > 0, x 1 (25) pro x = 1 Vzhledem k tomu, že podle (19) je U OL = 0, bude podle (17) N ML = U IL. Velikost šumové imunity NM L tedy určíme přímo z výrazu (25). Pro druhé náhradní zapojení na obr. 42 platí rovnice β P 2 (U GSP U TP ) 2 = β N [U DSN (U GSN U TN ) U DSN po stejných substitucích dostáváme U O = (U IN U TN ) [(U IN U TN ) 2 β N β P (U IN U DD U TP ) 2 ] 2 2 ] (26) po derivaci podle vztahu (20), substituci za x a dosazením stejných hodnot za U TN, U TP a U DD jako u U IL můžeme pomoci vztahu (17) a (18) přímo určit hodnotu šumové imunity. 1 2 (27)

59 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 59 U MH = 1 1 x x 1 x x 1 pro x > 0, x 1 (28) pro x = 1 Závislost šumové imunity na parametru x je znázorněna na obr. 42. Jelikož tranzistorů závisí podle (22) také na rozměrech tranzistorů, lze z této závisloti přímo určit změnu šumové imunity, nebo případné elektrické nesymetrie logických hradel. Obr. 42: Náhradní zapojení invertoru CMOS Obr. 43: Závislost šumové imunity na parametru X 6.3 Zpoždění logických hradel Modely, na které se obvykle odvoláváme v zadáních pro logické simulátory, musí obsahovat údaje o zpoždění. Zpoždění je ovšem syntetická veličina, která v sobě zahrnuje vliv mnoha parametrů. Údaje o zpoždění musí být do simulátoru zadány návrhářem pro každý typ technologie a při každé změně rozměrů tranzistorů.

60 60 FEKT Vysokého učení technického v Brně Jedním ze způsobů určení hodnot zpoždění je elektrická simulace. Z výsledků časové analýzy lze pro každý typ hradla velmi dobře odečíst potřebná zpoždění. Tímto způsobem je zpoždění určováno zejména při vytváření knihoven součástek. Při elektrické simulaci lze také jednoduše modelovat vliv změn. Můžeme tak získat i hodnoty zpoždění v závislosti na strmosti náběžné hrany vstupního pulzu, na celkové zátěži logického hradla atd. V mnoha případech, jako je vytváření schématu a ověřování jeho úprav, slouží logický simulátor jako nástroj pro orientační zjišťování funkce. Při takovémto vícenásobném opakování analýz je nutná zejména rychlost a jednoduchost. Určování velikosti zpoždění logických hradel elektrickou simulací by proto tyto práce značně zpomalilo. Navíc nejsou při vytváření schématu většinou známy konečné velikosti tranzistorů. Použití elektrické simulace při velmi nepřesných vstupních údajích potom ztrácí svoje opodstatnění. Proto je nutné, aby návrhář na základě znalostí funkce logických hradel dovedl určit hodnoty zpoždění pomocí jednoduchých vztahů. Určení náběžné a sestupné hrany Na obr. 46 je znázorněno, jak jsou definovány základní časové údaje používané při práci s pulzními signály. Jedná se o sestupnou a náběžnou hranu, jejichž doby t r a t f jsou určovány mezi úrovněmi signálu 10 % a 90 % a doby zpoždění t PHL a t PLH mezi dvěma signály, které se měří při úrovni 50 %. Určení t f lze ukázat na příkladu invertoru, který je nejjednodušším logickým hradlem v technologii CMOS. Elektrické schéma invertoru s kapacitní zátěží C L je na obr. 44. Obr. 44: Elektrické schéma invertoru a) b) Obr. 45: Náhradní zapojení invertoru Ve výchozím stavu je tranzistor T 2 otevřen a kapacitor C L je nabit na plnou hodnotu napájecího napětí V DD. Při změně vstupního napětí dojde k otevření tranzistoru T 1 a postupnému vybíjení kapacity zátěže. Na obr. 45 jsou dvě náhradní zapojení, kde tranzistor T 1 je nahrazen zdrojem proudu pro oblast saturace a rezistorem při činnosti v lineární oblasti.

61 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 61 Obr. 46: Definice pulzních parametrů Na obr. 47 je znázorněna voltampérová charakteristika tranzistoru T 1, na které je bodem A znázorněn výchozí klidový stav (U O = U DD, U GS = 0 a I DS = 0). Po skokové změně vstupního napětí přeskočí pracovní bod do bodu B na charakteristiku, která odpovídá V GS = V DD. Počátek vybíjení probíhá ve směru šipek z bodu B do hod u C. V tomto bodě je již pokles výstupního napětí takový, že je splněna podmínka U O = U DS = (U GS - U T ) a při dalším vybíjení bude tranzistor T 1 v lineární oblasti. Obr. 47: Voltampérová charakteristika tranzistoru T 1 Vzhledem ke dvěma oblastem činnosti tranzistoru je nutné rozdělit i čas t f na dva úseky: b) t f1 napětí U 0 poklesne z 0,9U DD na hodnotu (U DD U T ) c) t f2 napětí U 0 klesne z hodnoty (U DD U T ) na 0,1U DD Pro obě náhradní zapojení na obr. 45 platí, že proud z kapacitní zátěže se rovná proudu tranzistorem T 1 I DC = C L. du 0 dt Integrací (29) dostaneme pro výpočet zpoždění vztah t f = C L I DS du 0 (29) (30)

62 62 FEKT Vysokého učení technického v Brně Dosadíme-li do (30) příslušný výraz pro I DS v saturační oblasti, určíme t f1 řešením integrálu 2C L t f = β N (U DD U TN ) 2 0,9U DD U DD U TN d U 0 = 2C L(U TN 0,1U DD β N (U DD U TN ) 2 (31) Použijeme-li výraz pro I DS v lineární oblasti, můžeme po jeho dosazení do (30) získat řešením integrálu vztah pro t f2 U DD U TN t f2 = C L β du 0 0,1U [U 0 (U DD U TN ) U 0 2 DD 2 ] 2C L = β N (U DD U TN ) [U TN 0,1U DD (U DD U TN ) ln (19U DD 20U TN )] U DD U většiny technologií CMOS můžeme předpokládat, že platí U TN = 0,2. U DD Pro celkovou délku sestupné hrany potom platí vztah t f = t f1 + t f2 = 3,7C L β N U DD (34) (32) (33) Stejným postupem lze odvodit obdobný vztah i pro délku náběžné hrany t r = 3,7C L β N (35) Určení doby zpoždění t PLH nebo t PLH rozložíme do dvou kroků: a) Nejprve budeme předpokládat, že tvar vstupního signálu se blíží ideálnímu pulzu a jeho náběžné a sestupné hrany tedy budou zanedbatelně krátké. Pro stanovení t PHL můžeme potom použít stejný postup jako pro t f s tím rozdílem, že meze při integraci budou od U DD do (U DD U TN ) pro saturaci a od (U DD U TN ) do 0,5U DD pro lineární oblast. Za předpokladu (33) potom dostaneme t PHL = 1,62C L β N U DD (36) b) Vstupní signál má ve skutečnosti vždy určitou náběžnou hranu tr, jejíž délka bude zvětšovat hodnotu zpoždění z (36). Toto zvětšení je obtížné určit analyticky. Výsledky simulací ukázaly, že pro vyjádření vlivu náběžné hrany můžeme výraz (36) upravit takto t PHL = 1,62C L β N U DD + 0,257t r (37) Obdobný vztah bude platit i pro druhou dobu zpoždění t PLH = 1,62C L β N U DD + 0,257t r (38)

63 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO Značení logických obvodů Každý logický obvod má přesně dané kódové označení, ze kterého je patrná nejen jeho základní funkce, ale také jeho typické parametry. V dnešní době se můžete setkat s následujícím značením logických obvodů (barevně jsou vyznačeny parametry určující základní funkci obvodu). Jako příklad uvádíme značení obvodu SN74LVC1G00DBVR SN 74 LVC 1G 00 DBV R Standartní prefix (Standart Prefix) obvykle daný konkrétním výrobcem, 2. teplotní rozsah (Temperature Range) 54 Vojenský průmysl, 74 běžné použití, 3. typ produktové řady (Family) - LVC, HC, HCT atd., komplexní výčet je uveden v následující kapitole, 4. speciální funkce (Special Features) C nastavitelné napětí U DD (Configurable V CC ) umožňuje propojení systémů pracujících na různých napěťových úrovních, většinou obousměrně, D úrovňové diody (Level-Shifting Diode - CBTD) umožňuje jednosměrné propojení systémů pracujících na různých napěťových úrovních, H Bus Hold (ALVCH) zajišťují definovanou úroveň u nezapojených (plovoucích) vstupů, K Ochranné obvody (Undershoot-Protection Circuitry) - eliminují nežádoucí pokles signálu pod definovanou úroveň, R Tlumící rezistory (Damping Resistor on Inputs/Outputs - LVCR) omezují proud při poklesu napětí a zvyšují šumovou imunitu a kvalitu přenášeného signálu, S Schottkyho upínací diody (Schottky Clamping Diode - CBTS) eliminují nežádoucí pokles signálu pod definovanou úroveň, podobně jako ochranné obvody (K), Z bezpečné zapnutí (Power-Up 3-State - LVCZ) zajišťuje platné výstupní úrovně během zapnutí nebo vypnutí napájení. 5. Počet hradel v pouzdře (Bit Width) 1G jedno hradlo, 2G dvě hradla, 3G tři hradla, 8 Octal IEEE (JTAG), 16 Widebus (16, 18 a 20 bitů), 18 Widebus IEEE (JTAG), 32 Widebus + (32 a 36 bitů). 6. Rozšíření (Options) doplňkové funkce Neuvedeno bez rozšíření, 2 Series Damping Resistor on Outputs,

64 64 FEKT Vysokého učení technického v Brně 4 Level Shifter, Ω Line Driver. 7. Funkce (Function) 00 4x dvouvstupové hradlo NAND, 244 Neinvertující budič, 374 klopný obvod D (Flip-Flop), Atd. 8. Revize obvodu (Device Revision) Neuvedeno bez dalších revizí, A-D. 9. Pouzdra (Packages) komerční D, DW Small-Outline Integrated Circuit (SOIC), DB, DBQ, DCT, DL Shrink Small-Outline Package (SSOP), DBB, DGV Thin Very Small-Outline Package (TVSOP), DBQ Quarter-Size Small-Outline Package (QSOP), DBV, DCK, DCY, PK Small-Outline Transistor (SOT), DCU Very Thin Shrink Small-Outline Package (VSSOP), DGG, PW Thin Shrink Small-Outline Package (TSSOP), FN Plastic Leaded Chip Carrier (PLCC), GGM, GKE, GKF, ZKE, ZKF MicroStar BGA, Low-Profile Fine-Pitch Ball Grid Array (LFBGA), GQL, GQN, ZQL, ZQN MicroStar Jr., Very-Thin-Profile Fine-Pitch Ball Grid Array (VFBGA), N, NT, P Plastic Dual-In-Line Package (PDIP), NS, PS Small-Outline Package (SOP), PAG, PAH, PCA, PCB, PM, PN, PZ Thin Quad Flatpack (TQFP), PH, PQ, RC Quad Flatpack (QFP), PZA Low-Profile Quad Flatpack (LQFP), RGY Quad Flatpack No Lead (QFN), YEA, YZA NanoStar and NanoFree, Die-Size Ball Grid Array (DSBGA ), vojenské, FK Leadless Ceramic Chip Carrier (LCCC), GB Ceramic Pin Grid Array (CPGA), HFP, HS, HT, HV Ceramic Quad Flatpack (CQFP), J, JT Ceramic Dual-In-Line Package (CDIP), W, WA, WD Ceramic Flatpack (CFP). 10. Balení (Tape and Reel)

65 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO Přehled vyráběných produktových řad V dnešní době se logické obvody vyrábí ve velkém množství různých technologických variant. Je to dáno tím, že některé technologie musí podporovat zpětnou kompatibilitu s již stávajícími obvody, jiné jsou naopak zaměřeny na nízký příkon hradla, malé napájecí napětí nebo malé zpoždění signálu při průchodu hradlem. V následujícím přehledu jsou uvedeny často používané technologie: a) Logické obvody HC/HCT Obvody CMOS rychlé řady HC a HCT jsou bezesporu nejrozšířenějšími používanými obvody posledních let. Spojují výhody klasické řady obvodů TTL a CMOS. Vyznačují se vysokou rychlostí (pro většinu běžných aplikací dostačující), nízkým příkonem, vysokou šumovou imunitou a v neposlední řadě také nízkou cenou. Proto jsou velmi hojně využívány jako dokonalejší náhrada obvodů TTL logiky (HCT vstupy kompatibilní s TTL) a obvodů CMOS (HC vstupy kompatibilní s logikou CMOS). Příkon obvodů 74HC je významný především v dynamickém provozu. Ve statickém režimu je příkon v průměru 10 µw pro elementární hradlo. Změna teploty má také velký vliv na potřebný příkon obvodu. Například při zvýšení teploty z 25 na 85 o C se napájecí proud při U CC = 6 V zvětší z 2 µa na 20 µa (příkon se zvětší z 12 µw na 120 µw). Další zvýšení na maximální přípustnou teplotu 125 o C se projeví napájecím proudem 40 µa a odpovídajícím ztrátovým výkonem 240 µw. Obvody řady 74HCT jsou určeny k přímé návaznosti na obvody TTL. Jejich vstupní díl je navržen tak, aby respektovaly vstupní napětí u IL < 0,8 V a u IH > 2 V pro obě napěťové vstupní úrovně. V obou případech vstupní proudy nepřesáhnou hodnotu 1 µa. Proto mohou obvody 74HCT snadno nahradit obvody 74LS pouhou záměnou v objímce, přitom se ztrátový výkon redukuje až na pětinu. Je nutno upozornit, že se mění poněkud dynamické parametry a v kritických aplikacích je nutná kontrola. b) Logické obvody AC/ACT Advanced CMOS Logic jsou vyrobeny v technologii CMOS 1 µm. Obvody typu ACL vynikají nízkou spotřebou, ale zároveň jsou schopné pracovat s výstupním proudem až 24 ma. Vstupy technologie AC jsou kompatibilní s CMOS logikou a ACT umožňuje navázání obvodů v klasické logice TTL. Řada 74AC představuje skupinu rychlých obvodů CMOS se vstupními úrovněmi CMOS a posílenými výstupy CMOS, které mohou budit zátěž proudy až ± 24 ma. Doba zpoždění signálu t p je stejná nebo kratší než u obvodů ALS TTL, avšak mají až trojnásobně vyšší hodinový kmitočet. Tyto obvody se vyznačují velkou odolností vůči parazitní kapacitě zátěže Typické vlastnosti těchto obvodů jsou velmi nízká spotřeba, typické zpoždění 5 ns, napájecí napětí 1,5 V až 5,5 V (AC) a 4,5 V až 5,5 V (ACT), výstupní proud (max.) 24 ma, HC/HCT High-Speed CMOS Logic. c) Logické obvody AHC Advanced High-speed CMOS. Nabízí rovněž výbornou šumovou imunitu, ale navíc má pouze poloviční statický příkon oproti obvodům HC. Typické vlastnosti těchto obvodů jsou velmi nízká spotřeba, typické zpoždění 10 ns, vhodná náhrada za LS-TTL s menší spotřebou,

66 66 FEKT Vysokého učení technického v Brně napájecí napětí 2,0 až 6,0 V. d) Logické obvody AHC/AHCT Advanced High-Speed CMOS představují vylepšení obvodů HC a HCT, jejich typické vlastnosti jsou přibližně poloviční spotřeba než u HCMOS, maximální statický proud je asi 40 µa, vyniká nízkým šumem (malými proudovými špičkami) při přepínání, zpoždění je asi 5 ns, výstupní proud asi 8 ma (pro napájecí napětí 5V), může pracovat pro napájecí napětí 3,3 i 5 V, při použitém napájecím napětí 3,3 V je vstup díky ochranným diodám odolný vůči napětí přesahující 3,3 V. e) Logické obvody řady ALVC Advanced Low-Voltage CMOS Technology Logic mohou pracovat při nízkém napájecím napětí, až 3,3 V. Vynikají vysokou rychlostí a nízkou spotřebou. Využívány jsou zejména pro obvody v technice PC a periférií. Typické vlastnosti technologie ALVC jsou velmi nízká spotřeba, statický proud v režimu standby je 40 ma, typické zpoždění 2 ns, výstupní proud až 24 ma, rozsah napájecích napětí 1,2 až 3,6 V, vstupy jsou odolné vůči napětí až 5 V. f) Obvody AVC Advanced Very-Low-Voltage CMOS Logic umožňují dosáhnout velmi malého zpoždění (2 ns) při nízkém napájecím napětí 2,5 V. Typické vlastnosti obvodů AVC jsou napájecí napětí 1,2 až 3,6 V, zpoždění 1,7 ns pro napájecí napětí 3,3 V a 3,2 ns pro 1,8 V, nízký statický a dynamický příkon, vstup je odolný vůči napětí do 3,6 V, standardní maximální výstupní proud (24 ma), funkce snížené spotřeby. Dalším vylepšením technologie AVC jsou logické obvody AUC - Advanced Ultra- Low-Voltage CMOS Logic, které dále umožňují zmenšit napájecí napětí až na 0,8 V. g) Logické obvody řady LV Low-Voltage CMOS Technology Logic technologie CMOS s nízkým napájecím napětím umožňuje pracovat při napájecím napětí 3,3 V i 5 V. Typické vlastnosti obvodů LV jsou široký rozsah napájecího napětí 1,0 až 5,5V, typické zpoždění 9 ns pro Ucc = 3 V, pro napájecí napětí 4,5 V umožňují dosáhnout dvojnásobné rychlosti, než řada HCMOS, výstupní proud je asi 8 ma pro Ucc = 3 V a 12 ma pro Ucc = 4,5 V, snadná náhrada obvodů HCMOS, umožňují snížení napájecího napětí z 5 V na 3,3 V při zachování rychlosti. Číslicové obvody, které mají ve zkratce písmeno T (např. 54HCT/74HCT) jsou konstruovány tak, aby mohly přímo nahradit obvody TTL a bez problémů s nimi

67 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 67 spolupracovat, mají shodné rozložení vývodů na objímce jako odpovídající typy TTL, mají malé napájecí napětí U CC = 2 až 6 V, jejich výstupní úrovně odpovídají požadavkům na buzení obvodů jak CMOS tak i TTL, avšak z hodnot pro vstupní napětí u IL < 0,2 U CC a u IH > 0,7 U CC je zřejmé, že se dají bez potíží budit z obvodů CMOS, ale že zaručované výstupní napětí obvodů TTL, u OH > 2,4 V nebude stačit ke správnému vybuzení obvodu CMOS, u něhož se při stejném napájecím napětí U CC = 5 V požaduje vstupní napětí u IH 3,5 V. Tato potíž se však dá u řady 54HC/74HC odstranit jednoduše tím, že se výstup předřazeného členu TTL připojí přes pomocný rezistor s odporem přibližně 10 k na +5 V a zvýší se tak jeho u OH. Jinou možností je použít obvod z řady 54HCT/74HCT, u níž jsou vstupy vybaveny převodníkem napěťových úrovní, který umožňuje přímé buzení těchto obvodů z výstupů TTL. [1,6,8,9,11,12,13] ZVLÁŠTNÍ ZACHÁZENÍ S OBVODY CMOS. Tranzistory MOS v logických obvodech CMOS mají tenkou vrstvičku izolantu mezi řídicí elektrodou a kanálem. Průrazné napětí této izolační vrstvy se pohybuje kolem 100 V. Jeho překročení vede vždy k nevratnému průrazu tranzistoru a tím ke zničení integrovaného obvodu. Výskyt nebezpečného napětí na vstupu integrovaného obvodu je zpravidla vázán na přivedení náboje statické elektřiny z objektu, s nímž přijde integrovaný obvod do styku, ať již během manipulace nebo při funkci. Proto se všechny unipolární obvody jistí na všech vstupech proti zničení speciálními ochrannými elementy. Avšak ani složitá opatření proti výskytu statické elektřiny nemohou v praxi zajistit spolehlivou ochranu unipolárních integrovaných obvodů. Navíc je známo, že výboj statické elektřiny nemusí integrovaný obvod viditelně poškodit, přitom však může podstatně ohrozit jeho spolehlivost v dalším provozu. Při montáži, oživování i zahořování elektronických zařízení, obsahujících integrované obvody CMOS, je potřeba dodržet určitá specifická pravidla. Opatřit podlahy a pracovní stoly vodivým povlakem, vodivou uzemněnou podložkou, používat vodivou obuv a nesyntetické oblečení, připojit na zem i pracovní zásobníky, které musí být vyrobeny z vodivých materiálů a uzemnit dokonce i obsluhu, a to kovovým náramkem spojeným přes rezistor s odporem 50 až 100 kω se zemí. Všechny zemnicí spoje mají jít krátkou cestou do společného zemnícího bodu. Současně je nutno zajistit, aby k manipulaci s deskami osazenými obvody CMOS docházelo pouze při odpojeném napětí. Musí-li být realizována výměna desek pod napětím, je nutno zajistit, aby zemní vodič byl odpojen jako poslední a připojen jako první. Při montáži integrovaných obvodů CMOS pájením přímo do desek je nutno dodržovat zásady výrobce a nepřekračovat dobu ani teplotu pájení, používat neagresivní doporučená tavidla, zavést řádné mytí po pájení a používat ochranné lakování (i v těchto případech však pozor na možnost vzniku statické elektřiny). Pájení je dovoleno jen na dolní zúžené části vývodu. Při ručním pájení je třeba používat uzemněnou páječku na malé napětí. Při teplotě hrotu páječky 300 C je doba pájení maximálně 10 s, při teplotě hrotu páječky 300 až 400 C se zkracuje na 5 s. Při vypájení obvodů má být teplota hrotu páječky 260 C a doba pájení maximálně 5 s. Při vkládání a vyjímání obvodů CMOS z desek s plošnými spoji musí být odpojeny všechny napájecí zdroje. Měřicí přístroje používané při oživování, či při opravách, musejí být také uzemněny. Při uvádění desek osazených obvody CMOS do chodu je nutno zajistit, aby nebylo pájeno na vývodech při připojeném napětí, neboť vlivem tepla i jiných vlivů dojde většinou ke zničení obvodu nebo ke zhoršení jeho vlastností. Kromě možnosti bezprostředního zničení obvodu přetížením diod vstupních ochran (snesou proud do 100 ma) je zde nebezpečí vyvolání tzv. tyristorového jevu, při němž obvod přestane fungovat a jeho odběr prudce stoupne. Pokud se obvod zvýšeným odběrem nezničí, např. přepálením některých vývodů na

68 68 FEKT Vysokého učení technického v Brně čipu, je po vypnutí a opětovném zapnutí napájecího napětí jeho činnost obnovena, ovšem nelze již zaručit jeho spolehlivost. Zde je též třeba připomenout zásadu, že všechny vstupy obvodu, tedy i jeho nepoužitých částí musejí být ošetřeny připojením na definovanou úroveň vstupního signálu L nebo H (tj. na napětí 0 V nebo U DD ). Na rozdíl od obvodů TTL, které většinou vyhodnotí nepřipojený vstup jako by byl připojen na úroveň H, se u obvodů CMOS nastaví na nepřipojeném vstupu nedefinované napětí z intervalu mezi U SS a U DD, takže za nepříznivých okolností může dokonce dojít k přetížení obvodu nadměrným příčným proudem dvojice spínacích tranzistorů, který teče ze zdroje napájecího napětí. Tab. 11: Přehled vyráběných logických řad v bipolární i unipolární technologii TTL (74xx) True TTL 74L 74S 74H 74LS 74AS 74ALS 74F(AST) Low power Schottky High speed Low power - Schottky Advanced - Schottky Advanced - Low power - Schottky Fast - (Advanced - Schottky) 74C CMOS...check 74HC (U) 74HCT 74AHC 74AHCT 74FCT (-A) 74FCT (-T, -AT) 74AC 74ACT 74FACT 74ACQ 74ACTQ Vcc levels High speed - CMOS (Unbuffered output) High speed - CMOS - TTL inputs Advanced - High speed - CMOS Advanced - High speed - CMOS - TTL inputs Fast - CMOS - TTL inputs (speed variations) Fast - CMOS - TTL inputs (speed variations) Advanced - CMOS Advanced - CMOS - TTL inputs AC, ACT (Q) series Advanced - CMOS - Quiet outputs Advanced - CMOS - TTL inputs - Quiet outputs Bus Driver Families 74ABT 74ABTE 74ABTH 74BCT 74BTL Advanced - BiCMOS - Technology ABT - Enhanced Transceiver Logic Advanced - BiCMOS - Technology - bus Hold BiCMOS - TTL inputs Backplane - Transceiver - Logic

69 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 69 74GTL 74GTLP Gunning - Transceiver - Logic GTL (Motorola) Low Voltage Families 74ALB 74LV (U) 74LVC (R) (U) 74LVCH 74ALVC 74LVT (R) (U) 74LVTZ 74ALVC (R) 74ALVCH 74LCX 74VCX Advanced - Low Voltage - BiCMOS Low - Voltage (Unbuffered output) LV - CMOS (damping Resistor)(Unbuffered output) Low - Voltage - CMOS - bus Hold Advanced - Low - Voltage - CMOS LV - TTL (damping Resistor(Unbuffered output) Low - Voltage - TTL - High Impedance power-up ALV - CMOS (bus Hold) (damping Resistor) Advanced - Low - Voltage - CMOS - bus Hold LV - CMOS (operates with 3v & 5v supplies) LV - CMOS (operates with 1.8v & 3.6v supplies 4000 True CMOS (non-ttl levels) Nejčastěji používané technologie dle [13] AC, ACT, AHC, AHCT, ALVC, AUC, AUP, AVC, FCT, HC, HCT, LV-A, LV-AT, LVC, TVC ABT, ABTE, ALB, ALVT, BCT, HSTL, LVT BTA, CB3Q, CB3T, CBT, CBT-C, CBTLV, FB, FIFOs, GTL, GTLP, JTAG, I2C, VME ALS, AS, F, LS, S, TTL Obr. 48: Zpoždění hradla t pd v závislosti na použité technologii a napájecím napětí

70 70 FEKT Vysokého učení technického v Brně Obr. 49: Snižování napájecího napětí vlivem technologického pokroku [13] Obr. 50: Vývojové řady integrovaných obvodů

71 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 71 7 Zásady navrhování digitálních obvodů a systémů Při návrhu digitálních systémů i standardních obvodů, z nichž jsou tyto systémy vytvořeny, je nutné logický návrh zapojení podřizovat vlastnostem použitých integrovaných obvodů. Pro úspěšný návrh není v mnoha případech postačující znát pouze logickou funkci, kterou logický obvod vykonává. Často je totiž žádoucí zvládnout a znát obvodovou činnost použitého integrovaného obvodu. Znalost obvodové funkce elementárních stavebních členů po logické i po elektrické stránce nám umožní snáze proniknout do činnosti složitějších digitálních integrovaných obvodů a systémů. V praxi se může často stát, že integrovaný obvod chceme použít v neobvyklé aplikaci, a ani katalogové údaje neposkytnou postačující informace. Pak nezbývá, než důkladně analyzovat činnost celého obvodu. Znalost elektrické funkce je užitečná i při diagnostice poruch v digitálních systémech. Je proto velkou chybou, že někteří návrháři digitálních systémů považují logický integrovaný obvod pouze za jakýsi blok, u kterého stačí znát jeho vstupní a výstupní chování. Celkový návrh a uspořádání navrhovaného celku však okamžitě jejich nevědomost prozradí. Úspěšně používat integrované obvody znamená osvojit si velmi obsáhlé spektrum vědomostí a rozsáhlé poznatky z praxe. Praktické zkušenosti spolu s pochopením fyzikální podstaty jevů v jednotlivých stavebních prvcích a jejich vzájemných interakcí pak ukáží, které obvykle doporučované aplikační zásady je nutné v dané situaci dodržet, a které lze obejít, popřípadě, které vlastnosti obvykle uváděné jako nevýhodné je možné s výhodou využít k dosažení potřebného efektu. Tento přístup se zpravidla odrazí v hospodárnosti návrhu, protože bezmyšlenkovité aplikování zásad vede sice téměř vždy k úspěchu, málokdy však k optimálnímu řešení. 7.1 Připojování vstupů digitálních obvodů Při návrhu elektronických přístrojů s logickými obvody je nutno dodržet požadované napěťové úrovně vstupních signálů a při větších vstupních proudech některých logických obvodů (až i IL = 2 ma) respektovat také omezení velikosti vnitřního odporu zdroje signálu. Kromě statických parametrů je rovněž potřeba respektovat minimální přípustnou strmost hran vstupního logického signálu a další parametry Ošetření nevyužitých vstupů U vícevstupových logických obvodů se musí věnovat péče vstupům, které nejsou funkčně využity. Nepoužité vstupy zásadně nelze ponechávat nepřipojené, neboť za těchto podmínek není přesně definována jejich vstupní logická úroveň. U standardních digitálních obvodů realizovaných v bipolární technologii se sice nepřipojený vstup nejčastěji chová jako by byl nastaven na úroveň H, ale má v tomto případě velmi nízkou odolnost proti rušení. U požadavků na rychlost odezvy těchto obvodů se navíc může projevit nežádoucím způsobem i zpoždění, způsobené nabíjecím procesem, vázaným na parazitní kapacitu nepřipojeného vstupu (např. emitoru víceemitorového vstupního tranzistoru T 1 bipolárního obvodu). Časové zpoždění reakce výstupu činí u bipolárních obvodů přibližně 1 ns na každý nepřipojený vstup. Proto platí zásada neponechávat nevyužité vstupy logických obvodů nepřipojeny. Na obr. 51 jsou nakresleny základní způsoby ošetření nevyužitých vstupů. V podstatě připojíme nevyužitý vstup na zdroj napětí definované úrovně L nebo H tak, aby nebyla narušena logická funkce ošetřovaného obvodu. U vícevstupového obvodu NAND nebo AND musíme tedy nevyužité vstupy budit úrovní H. Můžeme to udělat např. tak, že připojíme

72 72 FEKT Vysokého učení technického v Brně nevyužité vstupy přes rezistor na napájecí napětí. Velikost rezistoru R S je potom nutné volit s ohledem na použité součástky R Smin = U DDP 5,5 1 ma, (39) R Smax = U DDmin 2,4 n.i IH, (40) kde n je počet spojených vstupů, I IH je vstupní proud, U DDmin je minimální napájecí napětí, U DDP je maximální napětí. Nevyužitý vstup lze také připojit přímo na rozvod napájecího napětí U DD = 5 V, pokud je zaručeno, že toto napětí v žádném případě nepřekročí mezní hodnotu 5,5 V (tedy ani při zapínání a vypínání). Můžeme je však připojit i k výstupu nepoužitého invertoru, jehož vstup jsme připojili na společný vodič. V nejjednodušším případě můžeme spojit nevyužité vstupy se vstupy použitými. U obvodů NOR nebo OR je to jinak: aby nebyla narušena jejich logická funkce, je nutno jejich nepoužité vstupy připojit na úroveň L, obvykle tak, že je připojíme na společný vodič. Můžeme ovšem stejně jako v předchozím případě připojit jednoduše nevyužité vstupy paralelně k použitým. y = A. B Obr. 51: Ošetřování nevyužitých vstupů logických obvodů y = A + B Nevyužité vstupy však musíme ošetřovat nejen u vícevstupových hradel, ale i u ostatních logických obvodů, jako jsou například různé druhy čítačů, monostabilních klopných obvodů a podobně. Správné ošetření nevyužitých vstupů je důležité i u obvodů CMOS, pro které je typická velká vstupní impedance (např Ω) a do jejichž nepřipojených vstupů se proto snadno může indukovat rušivý signál. Nevyužité vstupy se připojují na napájecí napětí U DD, na společný vodič nebo na použitý vstup, jinak by výstup mohl mít nedefinovanou úroveň nebo by se dokonce mohl několikanásobně zvýšit proudový odběr z napájecího zdroje (viz. obr. 41 závislost napájecího proudu obvodu CMOS pro napětí blízké rozhodovací úrovni). Určení, kam připojit nevyužitý vstup není zcela libovolné, protože se jím dá ovlivnit zatížitelnost výstupu obvodu. Můžeme si uvést příklad, kdy je čtyřvstupový logický člen NAND použit jako dvouvstupový (obr. 52). Nechť vstupy A a D jsou nevyužity. U členu NAND můžeme nevyužité vstupy připojit buď na rozvod napájecího napětí U DD (u hradel NOR na společný vodič) nebo je připojit paralelně k použitým vstupům. Připojíme-li vstupy A, D na U DD, budou tranzistory T A' a T D' trvale otevřeny, kdežto tranzistory T A a T D budou naopak trvale uzavřeny. Přivedeme-li na zbylé vstupy logické proměnné v kombinaci C = L, B = H, bude z horní čtveřice tranzistorů otevřen pouze jediný, a to T CP. Je zřejmé, že z výstupu obvodu můžeme v tomto případě odebírat proud odpovídající proudové vydatnosti jednoho spínacího

73 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 73 tranzistoru, tedy jmenovitý výstupní proud členu v úrovni H. Spojíme-li však vstupy A a B paralelně a podobně C a D podle obr. 52, zdvojnásobí se sice vstupní kapacita takto vytvořených dvojic, ale při stejné vstupní kombinaci C = L, B = H budou v tomto případě z horní čtveřice tranzistorů otevřeny dva, T CP a T DP. Proudová zatížitelnost výstupu takto zapojeného obvodu se tím zvětší na dvojnásobek. Obr. 52: Ošetřování nevyužitých vstupů logických obvodů Připojení nevyužitých vstupů u obvodů CMOS na U CC u hradel NAND nebo na společný vodič u hradel NOR tedy pouze umožní správnou logickou funkci zbývajících vstupů, proudová zatížitelnost výstupu se však přitom nezmění. Připojením nevyužitých vstupů k použitým se patřičně zvětšuje proudová zatížitelnost výstupu obvodu CMOS (přesněji se zvětšuje i OH u členů NAND a i OL u členů NOR) a z výstupu vícevstupých hradel lze budit i větší zátěže. Proudová zatížitelnost výstupu, jemuž je dodáván proud přes sériově zapojené tranzistory T AN až T DN (tj. i OL u členů NAND a i OH u členů NOR) se však tímto způsobem nezvyšuje. [9] Připojování vstupů nevyužitých logických obvodů Při návrhu elektronického přístroje se může stát, že na desce s plošnými spoji zůstane nevyužit jeden nebo dokonce více logických členů. V tomto případě je vhodné připojit vstupy těchto nevyužitých obvodů na takovou úroveň, aby spotřeba těchto obvodů byla minimální. Například obvod NAND v bipolární technologii může mít proudovou spotřebu až 1 ma při výstupní úrovni H a spotřebu asi 3 ma při výstupní úrovni L. Proto je vhodné vstupy nevyužitých obvodů NAND připojit na zem, čímž šetříme 2 ma na každý logický člen. U nevyužitých logických obvodů CMOS jsou takové úvahy zbytečné, protože ať připojíme vstupy na společný vodič (zem), nebo na rozvod napájecího napětí U DD, vždy je aspoň jeden z řetězce spínacích tranzistorů zahrazen, takže obvodem teče jen nepatrný klidový proud a logické členy pracující takto ve statickém režimu mají proto zanedbatelně malý příkon Přizpůsobování napěťových úrovní V některých aplikacích potřebujeme logickými obvody zpracovat signály, jejichž napěťové úrovně pro 0 a 1 nesplňují požadavky na napětí L a H, definovaná v katalogovém listu daného logického obvodu. Teoreticky může mít vstupní signál následující úrovně: vstupní signál má větší rozkmit, než jaký je požadován,

74 74 FEKT Vysokého učení technického v Brně vstupní signál nemá dostatečnou velikost, vstupní signály ležící v jiné napěťové oblasti. Pokud má vstupní signál větší rozkmit, stačí jej pouze okrojit. Jednoduché okrajovače použitelné pro obvody v bipolární technologii jsou na obrázku obr. 53, kde jsou uvedeny dva takové okrajovače. U prvního z nich (obr. 53a) se k omezení vstupního napětí U > 5 V na přípustnou hodnotu u IH < 5,5 V používá okrajovací obvod s rezistorem R a Schottkyho diodou D 1, připojenou na napájecí napětí. Schottkyho dioda D 2 zabezpečí svým malým úbytkem napětí v propustném směru správnou funkci při vstupním signálu v úrovni L. U druhého okrajovače (obr. 53b) je použita referenční dioda D 1, která umožňuje zpracovat i budicí signál se záporným napětím U 1 < 0 V. Rezistor R v zapojení s referenční diodou smí mít odpor nejvýše R < U IL u in i IL. (41) Konkrétně u obvodů v bipolární technologii může hodnota rezistoru být R = 0,8 u in 0,0016. Tato velikost odporu však nemusí být vždy v souladu s požadavky na velikost proudu, dodávaného ze zdroje budicího signálu v úrovni H. a) b) Obr. 53: Příklady řešení přizpůsobovacích obvodů [1] Zapojení podle obr. 54a používá k převodu úrovní u IN < U DD a u IN > U DD tranzistor v zapojení SE. Čárkovaně naznačená dioda D se musí použít při U 1.R 2 /(R 1 + R 2 ) < - 5 V, neboť by mohlo dojít k proražení emitorového přechodu tranzistoru při malých hodnotách odporu R 1. Tranzistorový spínač invertuje vstupní napětí a transformuje je do úrovní 0 až 5 V. Musíme-li navázat bipolární digitální obvody na část digitálního systému se zápornými logickými úrovněmi (např. s úrovněmi -5 V a 0 V), můžeme použít zapojení podle obr. 54b. Spínací tranzistor T má emitor připojen na vztažné napětí -5 V. Rezistorový dělič R 2, R 3 v jeho kolektoru je navržen tak, aby napětí na výstupu děliče odpovídalo při zapnutém tranzistoru T úrovni L, a to při respektování skutečnosti, že dělič je zatížen reálným proudem i IL obvodu hradla. V některých případech zapojujeme i ochrannou diodu D pro omezení záporných napětí na vstupu hradla. Tranzistor T invertuje procházející signál.

75 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 75 a) b) Obr. 54: Příklady řešení přizpůsobovacích obvodů Unipolární integrované obvody CMOS jsou schopny pracovat v širokém rozmezí napájecích napětí U DD = 3 až 18 V, popř. u moderních řad U DD = 2 až 6 V. Lze je tedy provozovat i při napájecím napětí U DD = 5 V a dalo by se očekávat, že budou schopny v tomto případě přímé spolupráce s bipolárními obvody. Avšak odlišné elektrické vlastnosti a provozní vlastnosti tuto spolupráci ztěžují. U přenosů signálu z bipolárních obvodů na obvody CMOS může být příčinou potíží také rozpor mezi napěťovými úrovněmi na výstupu bipolárních členů a napěťovými úrovněmi vyžadovanými pro vstupy členů CMOS. Ty totiž mají k zajištění správné funkce logického členu předepsány požadavky u IL < 0,3 U DD (tj. pro U DD = 5 V je požadováno u IL < 1,5 V) a u IH > 0,7 U DD až 0,8 U DD (pro U DD = 5 V tedy u IH > 3,5 až 4 V). Přitom logické členy v bipolární technologii mají zaručeno výstupní napětí u OL < 0,4 až 0,5 V a u OH > 2,4 až 2,7 V. Z toho je zřejmé, že u OL zvolených obvodů vyhovuje, protože je v tolerančním poli hodnot u IL obvodů CMOS, avšak u OH těchto obvodů nepostačí ke správnému vybuzení vstupu obvodů CMOS. Máme zde opět několik možností, jak obvody v různých technologiích spojit. Nejjednodušší možností je připojit výstup standardního obvodu např. v technologii TTL s dvojčinným koncovým stupněm podle obr. 35 přes pomocný rezistor na napájecí napětí +5 V (obr. 55) a dosáhnout tak zvýšení jeho výstupního napětí na hodnotu blízkou U DD nebo použít pro buzení logický člen TTL s otevřeným kolektorem, doplněný samozřejmě příslušným pracovním rezistorem (v podstatě stejné zapojení jako na obr. 5.4, jen ve schématické značce logického členu by byl navíc symbol používaný k označení výstupu s otevřeným kolektorem). Z hlediska návrhu nejčistší řešení tohoto problému poskytují obvody CMOS ve verzích xxt (HCT, AHCT). Ty jsou totiž konstruovány tak, aby jejich vstupní napětí u IL, u IH byla v tolerancích platných pro obvody TTL. Jejich vstupy se proto dají připojovat k výstupům logických členů TTL přímo a bez jakýchkoli doplňujících úprav. Pro buzení obvodů CMOS, které mají pracovat s různými napájecími úrovněmi, např. U DD > 5 V, je možno použít logický člen TTL, jehož koncový stupeň je tvořen jediným spínacím tranzistorem s volným (otevřeným) kolektorem, dimenzovaným na větší napájecí napětí (obvykle 15 V nebo 30 V). Potřebného posunutí napěťových úrovní se dosáhne tím, že zatěžovací rezistor tohoto tranzistoru je připojen na sběrnici U DD, jak je to naznačeno na obr. 5.4b.

76 76 FEKT Vysokého učení technického v Brně a) b) Obr. 55: Ukázky propojení obvodů v různých technologiích Při propojení digitálních obvodů v různých technologických verzích (např. TTL na obvody CMOS) je příčinou potíží rozpor mezi napěťovými úrovněmi na výstupu členů TTL a napěťovými úrovněmi vyžadovanými pro vstupy členů CMOS. Ty totiž mají k zajištění správné funkce logického členu předepsány požadavky u IL < 0,3 U DD (tj. pro U DD = 5 V je požadováno u IL < 1,5 V) a u IH > 0,7 U DD až 0,8 U DD (pro U DD = 5 V tedy u IH > 3,5 až 4 V). Přitom logické členy TTL mají zaručeno výstupní napětí u OL < 0,4 až 0,5 V a u OH > 2,4 až 2,7 V. Z toho je zřejmé, že u OL obvodů TTL vyhovuje, protože je v tolerančním poli hodnot u IL obvodů CMOS, avšak u OH obvodů TTL nepostačí ke správnému vybuzení vstupu obvodů CMOS. Zde je odpomoc snadná: připojit výstup standardního obvodu TTL s dvojčinným koncovým stupněm podle obr. 4.1 přes pomocný rezistor na napájecí napětí +5 V (obr. 5.4a) a dosáhnout tak zvýšení jeho výstupního napětí na hodnotu blízkou U DD nebo použít pro buzení logický člen TTL s otevřeným kolektorem, doplněný samozřejmě příslušným pracovním rezistorem (v podstatě stejné zapojení jako na obr. 55, jen ve schématické značce logického členu by byl navíc symbol používaný k označení výstupu s otevřeným kolektorem). Z hlediska návrhu nejčistší řešení tohoto problému poskytují obvody CMOS ve verzích HCT a ACT. Ty jsou totiž konstruovány tak, aby jejich vstupní napětí u IL, u IH byla v tolerancích platných pro obvody TTL. Jejich vstupy se proto dají připojovat k výstupům logických členů TTL přímo a bez jakýchkoli doplňujících úprav. Pro buzení obvodů CMOS, které mají pracovat s napájecím napětím U DD > 5 V (např. 10 nebo 15 V) je možno použít logický člen TTL, jehož koncový stupeň je tvořen jediným spínacím tranzistorem s volným (otevřeným) kolektorem, dimenzovaným na větší napájecí napětí (obvykle 15 V nebo 30 V). Potřebného posunutí napěťových úrovní se dosáhne tím, že zatěžovací rezistor tohoto tranzistoru je připojen na sběrnici U DD, jak je to naznačeno na obr. 55. Vážeme-li vstupy členů TTL na výstupy členů CMOS při společném napájecím napětí UC = UDD = 5 V, je z hlediska napěťové slučitelnosti vše v pořádku. Obvody CMOS řady 4000 však mají malou proudovou vydatnost, a proto je u nich potřeba ověřit, zda jsou schopny dodat vstupům následně připojených obvodů TTL požadovaný proud. Situace v tomto směru je beze zbytku vyřešena použitím moderních obvodových prvků CMOS řad HC, HCT, AC a ACT. Ty se vyznačují velkou proudovou vydatností výstupů. Nejsou-li napájecí napětí propojovaných obvodů stejná, můžeme v některých jednoduchých aplikacích použít buď neinvertující vazební obvod podle obr. 56a nebo invertující vazební obvod se spínacím tranzistorem NPN v zapojení podle obr. 56b.

77 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 77 a) b) Obr. 56: Ukázky propojení obvodů v různých technologiích Úrovňová dioda Některé produktové řady mají kompatibilní vstupní úrovně pro signály s různou velikostí. Jako příklad můžeme uvést např. řadu 74AHC. Pokud hradlo v technologii AHC napájíme napětím U DD = 5 V, můžeme na jeho vstup přivést digitální signál o úrovní H reprezentovaný jak napěťovou úrovní 3 V, tak také 5 V. V obou případech obvod vstupní napětí vyhodnotí správně jako logickou úroveň H, výstupní úroveň napětí bude mít hodnotu U out = 5 V. V anglické literatuře se tato funkce nazývá Up Level Shifting. Naopak pokud obvod napájíme napětím 3,3 V, na jeho vstupy můžeme přivést signál o úrovních 3,3 V nebo i 5 V. Výstupní úroveň signálu bude potom U out = 3,3 V. V anglické literatuře se tato funkce nazývá Down Level Shifting. Obě varianty jsou ukázány na obr. 57. Vždy je ale nutné v katalogovém listu zjistit, jestli použitý obvod tuto možnost nabízí. Obr. 57: Příklady přizpůsobení úrovně u řady AHC [10] Konfigurovatelné napájecí napětí U DD V produktových řadách výrobců můžeme také najít speciální obvody, které slouží pro spojení elektronických systémů pracujících na různých technologiích. Jako příklad si můžeme uvést obvod SN74AVC2T45, který je dvoubitový obousměrný převodník úrovní s třístavovým výstupem. Levá strana je napájena napětím U DDA a může zpracovávat signály o úrovních daných napětím U DDA, pravá strana je napájena jiným napájecím napětím a logické úrovně odpovídají napětí U DDB. Tyto obvody jsou velmi užitečné a převážně se vyrábějí i ve velmi malých pouzdrech. [15] Obr. 58: Logické schéma obvodu SN74AVC2T45

78 78 FEKT Vysokého učení technického v Brně Přidržení sběrnice - Bus-Hold (H) Jedná se o další možnou úpravu vstupu digitálních obvodů, kterou obsahují vybrané produktové řady. Jejím cílem je ošetření plovoucích vstupů digitálních obvodů. Důsledkem přizpůsobení, znázorněného na obr. 51 nebo obr. 55, může být zpomalení náběžné hrany digitálního systému. Obvody typu Bus-hold proto udržují poslední známý stav vstupu obvodu. Další výhodou je, že odpadá nutnost použití pullup nebo pulldown rezistorů. Viz. obr. 59. Obr. 59: Výhody obvodu Bus-Hold Zpracování signálů mechanických kontaktů Celá řada digitálních systémů musí zpracovávat signály, které dodávají zařízení s mechanickými kontakty (spínače, přepínače, tlačítka, relé, klávesnice apod.). Výstupní signál logického členu s mechanickým kontaktem může být v okamžiku zapnutí nebo vypnutí kontaktu doprovázen po dobu až několika milisekund zákmity mechanického původu, které se pochopitelně dostávají na vstup připojených logických obvodů. Vzhledem k vysoké rychlosti digitálních obvodů je zřejmé, že tato série krátkých impulsů pronikne do logických obvodů a může mít nežádoucí důsledky. Proto je nutno v kritických případech signál z mechanických kontaktů ošetřit speciálními obvody. Zapojení podle obr. 60a používá za spínačem integrační článek RC k časovému překlenutí přechodného děje při zapnutí nebo vypnutí kontaktu. Časová konstanta se volí několik milisekund. Výstupní napětí členu RC zpracovává invertor s hysterezí. Na obr. 60b je nakresleno zapojení klasického korekčního obvodu pro ošetření signálu z mechanických kontaktů. Vstupní přepínač pak střídavě připojuje na společný vodič vstupy klopného obvodu RS sestaveného ze dvou logických obvodů NAND (lze pochopitelně použít i jiný klopný obvod, (např. typ 74HC173, 74LS74) s vyvedenými vstupy pro nulování a nastavování). Oba vstupy obvodu RS reagují na sestupnou hranu přiváděného signálu za předpokladu, že druhý vstup má konstantní klidovou úroveň H. Odskoky kontaktů při přepínání nejsou naprosto na závadu, neboť signál na výstupu Q se jednoznačně změní na požadovanou úroveň při prvním dotyku kontaktů přepínače při jeho přeložení do druhé polohy a případné další dotyky téhož kontaktu nemají na stav klopného obvodu žádný vliv. Stav klopného obvodu se změní teprve tím, že vrátíme přepínač do jeho původní polohy a také v tomto případě reaguje klopný obvod pouze na prvý dotyk kontaktů, kdežto na impulsy vzniklé odskakováním kontaktů do mezipolohy už nereaguje.

79 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 79 R 1 1k +5 V R 2 390R C Q 4k7 H L +5 V 4k7 74AS00 & & Q Q a a) b) Obr. 60: Ošetření signálu mechanických kontaktů Na obr. 61a a b jsou uvedeny dvě varianty korekčního obvodu s klopným obvodem z invertorů. U prvního obvodu se při přepnutí přepínače uzemní výstup odpovídajícího invertoru, který byl doposud v úrovni H. Zpětnou vazbou se však okamžitě na tomto výstupu nastaví úroveň L. Zkratový proud tedy teče pouze mžikově. U druhého zapojení se používají k přepínání výstupů Q a Q dvě tlačítka H a L, jejichž střídavým stlačováním můžeme klopný obvod na výstupu Q nastavovat (Q = H), resp. nulovat (Q = L). Obvod nejen že nereaguje na zákmity vznikající odskakováním tlačítek, ale nezmění svůj stav ani při opakovaném stisknutí téhož tlačítka. Kromě ručního ovládání tlačítky může být klopný obvod vynulován i elektricky. Aktivujeme-li tranzistor T přivedením logické úrovně H na vstupu NUL, simulujeme tak stlačení tlačítka L, takže klopný obvod se překlopí do stavu Q = L. Tento způsob ovládání klopného obvodu může být například použit při inicializaci celého zařízení po zapnutí, kdy je třeba nastavit definovaný počáteční stav celého systému vynulováním všech jeho funkčních bloků. Místo diskrétního tranzistoru T může být použit i logický obvod s otevřeným kolektorovým výstupem. b +5 V 1k ALS1005 H L Q Q H L +5 V 1 1 Q Q 1k T NUL a) b) Obr. 61: Ošetření signálu mechanických kontaktů Logické členy CMOS mají elektrické vlastnosti v mnoha směrech odlišné od obvodů TTL. Přesto jsou u nich zásady ošetřování signálů dodávaných z kontaktových logických členů zcela obdobné. Na obr. 88a je nakresleno schéma obvodu pro ošetření signálu se zákmity z mechanických tlačítek S 1 a S 2. Při stlačení tlačítka S 1 se hned při prvním dotyku obou jeho kontaktů klopný obvod RS s členy NOR vynuluje, Q = L. Přechodný děj se zákmity ani případné opakované stisknutí tohoto tlačítka se na výstupu neuplatní. Ke změně stavu klopného obvodu dojde

80 80 FEKT Vysokého učení technického v Brně teprve při stlačení tlačítka S 2, kdy se obvod nastaví do stavu Q = H. Ani v tomto případě se přechodné děje na tlačítku S 2 nebo jeho opakované stisknutí nijak neuplatní. Rezistory R 1 a R 2 mohou mít velký odpor díky nepatrnému vstupnímu proudu členů CMOS. Přechodné děje na mechanických přepínačích a tlačítkách mohou být eliminovány pomocí integračního článku, jehož časová konstanta je delší, než doba trvání přechodného děje se zákmity. Možné řešení ukazuje obr. 88b. V klidu je na vstupu invertoru napětí odpovídající úrovni L. Po stlačení tlačítka se vstupní napětí invertoru přibližně exponenciálně zvětšuje až na hodnotu potřebnou k překlopení logického členu s hysterezní převodní charakteristikou z původní úrovně H do úrovně L. Při uvolnění tlačítka dochází k vybíjení kapacitoru C 1 přes rezistor R 2 a při dosažení dolní rozhodovací úrovně invertoru dojde k jeho překlopení z výstupní úrovně L zpět do klidové úrovně H. Díky nepatrnému vstupnímu proudu použitého obvodu CMOS je dimenzování článku R 1, C 1, R 2 s časovými konstantami řádu desítek milisekund snadné. Použité rezistory mohou mít odpor desítky až stovky kω, u kapacitoru vystačíme s kapacitou kolem 100 nf. Pokud je potřeba obvod s inverzními vlastnostmi (tj. v klidu má být na vstupu invertoru úroveň H), stačí prohodit přívody U DD a země u časovacího článku R 1, C 1, R 2 podle údajů v závorce. Dimenzování tohoto článku se nemění. UDD S1 R 74HC Q UDD R1 100k 74ACT14 CMOS 1 S2 S 1 Q (0 V) C1 100n R2 1M 0 V (UDD) R1 100k R2 100k a a) b) Obr. 62: Ošetření signálu mechanických kontaktů pro unipolární obvody Vynikající vstupní parametry obvodů CMOS dovolují vytvářet i jednoduché aplikace. Jako příklad můžeme uvést zapojení bezkontaktního senzorového spínače a přepínače. Na obr. 63 je uveden příklad senzorového tlačítka. V klidu je na vstupu invertoru s hysterezní převodní charakteristikou (např , 74HC14) úroveň H, protože řetězec rezistorů R 1 a R 2 je připojen na U DD. Člen R 2, D 1 a D 2 chrání vstup invertoru před případným překročením přípustných napětí použitého členu CMOS při dotyku aktivní plošky senzorového tlačítka. Kapacitor C s kapacitou 33 až 47 nf tvoří spolu s rezistorem R 2 dolní propust, jejímž úkolem je potlačit nadměrná šumová a brumová napětí, která by mohla způsobit nežádoucí chybnou změnu výstupní úrovně logického členu. Při přiložení prstu na senzorové tlačítko se jeho dotykové plošky propojí přes kožní odpor prstu, tím se sníží vstupní napětí invertoru na úroveň L a na jeho výstupu se nastaví logická úroveň H. Hystereze invertoru spolu se setrvačnými vlastnostmi článku C, R 1, R 2 omezí zákmity, které přitom mohou vzniknout např. v důsledku neurčitosti přitisknutí prstu. Pro náročnější účely se doporučuje doplnit toto senzorové tlačítko ještě klopným obvodem, podle některého z výše uvedených principů. Zabrání se tak totiž možnosti chybné interpretace při náhodné opakované aktivaci téhož tlačítka. b

81 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 81 2 x 1N HC14 R M D 1 1 R 2 56k D 2 C 39n Obr. 63: Zapojení senzorového tlačítka 7.2 Výstupy digitálních obvodů Při připojování výstupních zařízení nebo dalších logických obvodů k výstupům logických obvodů jsme vždy vázáni mezními hodnotami výstupních napětí a proudů, jak je uvedeno v kapitole Při buzení dalších logických obvodů ze sledovaného výstupu nesmíme překročit povolené zatížení výstupu, které se dříve udávalo logickou zatížitelností N (někdy také nazývanou jako logický zisk). Logická zatížitelnost určuje největší počet logických vstupů v dané technologii, které můžeme budit z daného výstupu. Např. z hradla 74ALS (N = 20) obr. 64b můžeme dodávat výstupní logický signál do dvaceti vstupů logických obvodů ALS. U většiny současných řad logických obvodů jsou výstupní parametry definovány maximálním výstupním/vstupním proudem a napětím pro úroveň L a H. a) b) Obr. 64: Logická zatížitelnost obvodu

82 82 FEKT Vysokého učení technického v Brně Buzení výkonové zátěže úrovní H a) b) Obr. 65: Buzení výkonové zátěže Zapojení podle obr. 65a používá k zabezpečení většího proudového odběru pomocný vnější tranzistor T, tranzistor se otevírá při výstupní úrovni H. Budicí proud i B dodáván do báze tranzistoru T z výstupu logického obvodu. Pokud proud do báze nedosáhne hodnoty I OHmax můžeme k výstupu připojovat další logické obvody. Potřebujeme-li z výstupu digitálního obvodu odebírat logický signál, můžeme zvětšit zatěžovací proud i výst = i B nestandardně i nad hodnotu I OHmax. Podle výstupní charakteristiky obvodu se patřičně zmenší výstupní napětí obvodu případně až pod 2 V. Jestliže je proud zátěží i C tak velký, případně proudový zesilovací činitel h 21e tak malý (u tranzistorů pracujících jako spínače v saturaci bývá h 21e malé, jen asi 10 až 20, u výkonových tranzistorů mnohdy i méně), že bude i B > I OHmax, musíme zavést do obvodu báze posilovací proud tekoucí rezistorem R p. Je nutné dbát na to, aby při přepnutí do logické úrovně L nebyl překročen výstupní proud I OLMAX. Při návrhu obvodu postupujeme tak, že pro předepsaný proud i C zátěží a napětí U DD vybereme vhodný typ tranzistoru. Pro jeho zesilovací činitel h 21e určíme proud i B. Odpor R B rezistoru v bázi T vypočítáme ze vztahu R Z u OHmin u BE i B. (42) Pro případ, že i B > I OHmax požadujeme možnost buzení vstupů logického obvodu ze sledovaného výstupu, určíme odpor R p z přibližného vztahu R P = U DD u OH i B, (43) Přitom kompenzujeme proud i B tak, že výstup obvodu k tvorbě proudu i B prakticky nepřispívá. Na obr. 65b je nakresleno zapojení pro spínání velkých proudů i o velikosti jednotek ampérů dodávaných odporové zátěži R Z. Jako budicí obvod může být použita libovolná součástka, která ve spolupráci s pracovním rezistorem R 1 zahradí Darlingtonovu dvojici tranzistorů T 2, T 3, má-li na výstupu úroveň L, kdežto při výstupu v úrovni H dodává do báze tranzistoru T 2 přes rezistor R 1 proud i B = 15 ma, který stačí uvést tranzistory T 2 a T 3 do saturace. Protože u outh u BE2s + u BE3s 0,7 V + 2,4 V = 3,1 V není při provozu nikdy překročeno dovolené výstupní napětí logického členu, i když je rezistor R 1 napájen ze i C h 21e je

83 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 83 sběrnice +30 V. Tranzistory T 3 a T 2 musí být vybrány s ohledem na dostatečnou proudovou vydatnost a na předpokládané provozní napětí. Protože budou pracovat ve spínacím režimu, budou mít při provozu v saturaci jen malý zesilovací činitel, menší než minimální hodnota udávaná v katalogu. Odpor R 1 vypočítáme na základě znalosti parametrů tranzistorů a zadaných provozních podmínek R 1 (U p u BE2s u BE3s ).h 21e2s.h 21e3s. i Obr. 66: Řízení výkonové zátěže tranzistorem MOS Velmi používaným řešením pro ovládání výkonových zátěží představuje zapojení podle obr. 66 s výkonovým tranzistorem MOS. Pro představu je použit tranzistor s N-kanálem pro napětí až 100V (IRF540N) a pro proudy až 30 A. U tranzistorů MOS je potřeba uvažovat relativně velkou vstupní kapacitu hradla, u tohoto typy tranzistoru je typicky 1,9 nf, proto je na obr. 66 zapojen rezistor R omezující nárazový proud při přepínání Buzení výkonové zátěže úrovní L Při buzení výkonové zátěže z výstupu logického obvodu při aktivní úrovni L použijeme poněkud odlišná zapojení. V nejjednodušších případech můžeme připojit zátěž mezi sběrnici napájecího napětí a výstup logického obvodu. Jako příklad je uvedeno na obr. 67a připojení budicí cívky relé k výstupu výkonového logického členu NAND. Největší proud tekoucí zátěží nesmí být větší než mezní hodnota i OUTL (i OUTL > i Z ). Pokud má zátěž induktivní charakter, zapojujeme paralelně k indukční zátěži ještě ochrannou diodu D, která ochrání výstup logického obvodu proti nebezpečným napěťovým špičkám, vznikajícím při odpojení zátěže. a) b) c) Obr. 67: Řízení výkonové zátěže tranzistorem MOS

84 84 FEKT Vysokého učení technického v Brně Na obr. 67b je uvedeno schéma s tranzistorem PNP a zátěží napájenou ze zdroje záporného napětí. Tranzistor T je v klidovém stavu u out = H zahrazen a zátěží neprotéká proud. Po přepnutí výstupu obvodu na úroveň L teče rezistorem R 1 proud ib, který otevírá tranzistor a zátěží teče proud I Z = U DD U N u CEs R Z. (44) Odpor R 1 vypočítáme podle vztahu R 1 (U DD U EBs u OUTL ).h 21es I Z 3,9 h 21es i Z. (45) Na obr. 67c je uveden jiný příklad řízení výkonového tyristoru z výstupu logického členu CMOS. Proud I G do řídicí elektrody tyristoru je dodáván přes proudový posilovač se spínacím tranzistorem PNP. Tranzistor je uveden do saturace, je-li na výstupu členu CMOS logická úroveň L. Použijeme-li např. tranzistor BC179, může mít řídicí proud I G velikost až 100 ma. Požadovanou velikost řídicího proudu nastavíme odporem rezistoru R 2, který můžeme vypočítat ze vztahu R 2 (U DD U ECs u g ) i G. (46) kde saturační napětí u ECs 0 V, u G je řídicí napětí tyristoru při proudu i G. Pro buzení větších zátěží jsou prakticky ve všech technologiích digitálních obvodů k dispozici součástky pro buzení sběrnic, jejichž proudová zatížitelnost výstupu je několikanásobně větší než u běžných typů. Jako příklad si můžeme uvést obvod 74LVC07, který slouží jako budič (Buffer/driver) s otevřeným kolektorem s šesti vstupy a výstupy. Pracuje v rozsahu napájecího napětí od 1,65 V do 5 V Galvanické oddělení výstupů Pro galvanické oddělení zátěže od digitálního systému můžeme využít klasické zapojení pomoci optočlenu. Optočlen je elektronická součástka, která slouží ke galvanickému oddělení dvou obvodů. Je tvořen optickým vysílačem a fotocitlivým přijímačem, nejčastěji ve formě fototranzistoru (obr. 68a). Fototranzistor může být v různých provedeních (PNP, NPN, nebo i v Darlingtonově zapojení). Na vstup optočlenu přivádíme proud I F (Forward current) potřebný pro aktivaci optického vysílače (např. LED diody), který budí fototranzistor a otevírá jej podle proudu procházejícího. Otevřený přechod tranzistoru mezi emitorem a kolektorem způsobí sepnutí obvodu na výstupu. Díky galvanickému oddělení lze ovládat obvody, které se mezi sebou liší napěťovou úrovní v řádech stovek voltů. Toto použití je časté tam, kde potřebujeme mít úplně oddělená zařízení včetně zemních (nulových) propojení. Příklad zapojení na obr. 68b, který znázorňuje galvanické propojení dvou digitálních systémů pracujících na rozdílných napětích. Důležité pro správnou funkci galvanického oddělení je dodržet také rozdělení zemí. Hodnoty U F a I F důležité pro výpočet předřadného rezistoru R D odečteme z katalogového listu. Např. pro optočlen 4N35 je I F = 10 ma, U Fmax = 1,5 V, hodnota předřadného rezistoru je pak R D (U OUTHmin U F ) I F. (47)

85 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 85 a) Princip funkce b) Ukázka galvanického oddělení Obr. 68: Galvanické oddělení pomoci optočlenu Další možností je využití speciálních digitálních oddělovačů (isolátorů), které umožňují vytvořit galvanické oddělení i na datových sběrnicích s vysokou přenosovou rychlostí. Výhodou specializovaných obvodů je právě nižší příkon a vyšší přenosové rychlosti, než u klasického zapojení s optočleny. Ukázka takovéhoto typu obvodu je na obr. 69. Jako příklad můžeme uvést obvod ISO7310C. Jedná se o nízkopříkonový jednokanálový oddělovací obvod, schopný přenášet data až rychlostí 25 Mbps. [1,7,9,10,12,13,14] a) Zapojení pinů b) Principiální schéma Obr. 69: Galvanické oddělení pomoci obvodu ISO Aplikační zásady Rozvod zemního vodiče Rozvod společného vodiče (zemního vodiče) je v mnoha případech podceňován, ale špatně navržený rozvod může být zdrojem mnoha nečekaných závad při uvádění zařízení do chodu. V zásadě by měl být společný vodič realizován tak (ať už drátem nebo měděnou fólií na desce s plošnými spoji), aby měl co nejmenší odpor a zanedbatelnou indukčnost. Těmto požadavkům vyhoví vodiče s velkým průřezem. Při návrhu plošných spojů se proto vždy snažíme navrhovat rozvody zemí co nejširší. Pokud se v zařízení kromě logických obvodů vyskytují také další typy obvodů, např. lineární integrované obvody, výkonové členy s relé apod., je nutné každý typ těchto obvodů propojovat samostatným společným (zemním) vodičem. Tyto skupinové vodiče zemí pak propojíme v jediném místě zařízení, nejčastěji u zemnicí svorky nejkritičtěji provozovaného operačního zesilovače (který zpracovává nejmenší úrovně signálu) nebo u napájecích zdrojů. Při dimenzování společného vodiče musíme uvažovat i maximální proudy, které jím mohou protékat. Nejsou vzácností desky plošných spojů s odběry až několik ampérů. Ze stejných důvodů jsou kladeny přísné nároky také na minimální přechodové odpory použitých konektorů a na spoje zemí mezi jednotlivými konektory v přístrojové skříni.

86 86 FEKT Vysokého učení technického v Brně Rozvod napájecího napětí Rozvod napájecího napětí vyžaduje stejnou péči při návrhu jako rozvod společného zemnícího vodiče. Přívod napájecího napětí na desku s číslicovými obvody je nutno hned za konektorem filtrovat nejlépe tantalovým elektrolytickým kapacitorem s kapacitou alespoň 10 µf. Navíc se doporučuje blokovat napájecí napětí bezindukčními keramickými kapacitory přímo u integrovaných obvodů. Doporučená kapacita se pohybuje okolo 10 až 100 nf, jejichž úkolem je pokrýt špičkově zvýšené proudové odběry logických obvodů při přepínání. Pokud je použita deska s oboustrannými plošnými spoji, je vhodné jednu stranu využít pro signálové spoje a druhou stranu pro rozvod země a napájecího napětí. Je-li deska hustěji osazena obvody, rozvádí se někdy napájecí napětí a zem dalšími vrstvami vícevrstvého plošného spoje. Při návrhu plošných spojů je vhodné respektovat následující základní zásady. Signálové spoje je vhodné navrhovat co nejkratší. Délka spoje do 40 cm je ještě považována za přípustnou, zejména na velkých deskách používajících celou zemnicí a celou napájecí vrstvu. Rovněž tato délka vyhoví u malých desek, kde je zemnicí vrstva tvořena mřížkou nebo síťkou. Je-li přenášen signál s vyššími pracovními kmitočty (vf), je potřeba zkrátit spoje a vyloučit ostré ohyby. Běžné spoje a použité zátěže na desce nevyžadují přizpůsobené vedení. Přechodný děj odezvy na buzení více zátěží zejména kapacitního charakteru může být zlepšen zakončením vedení děličem R 1 = 600 Ω a R 2 = 300 Ω. Šířka vodiče plošného spoje musí být alespoň 0,35 mm a alespoň stejná musí být šířka mezery. Je vhodné volit větší mezeru, zejména u rovnoběžně vedených dlouhých spojů. Zejména je nutno věnovat pozornost dostatečné mezeře od vodičů řídicích hodinových signálů a jiných citlivých signálů. Tloušťka izolačního materiálu u vícevrstvých spojů není kritická, obzvláště jsou-li některé vrstvy vymezeny rozvodu napájení a společné země. Snižuje se tak i počet potřebných kapacitorů pro filtraci napájecího napětí. Zvlnění napájecího napětí většiny digitálních obvodů může být nejvýše 10 % jmenovité hodnoty. Následující výčet zásad můžeme použít k návrhu, kontrole a zhodnocení zkonstruovaného zařízení: zabezpečení dostatečného chlazení součástek vhodným umístěním výkonově namáhaných součástek a chladičů, vyloučení přeslechů vhodným prostorovým umístěním vodičů nebo jejich stíněním, kvalitní rozvod zemí a napájecích napětí, spojování zemí různých zdrojů a částí obvodu, spojení zemí s kostrou a propojení zemí se spolupracujícím zařízením, ochrana zdrojů proti zkratu a ochrana integrovaných obvodů proti přepětí, dostatečná filtrace napájecích napětí tantalovými elektrolytickými kapacitory a ve skupinách integrovaných obvodů bezindukčními rychlými keramickými kapacitory, ochrana konektorů proti chybnému připojení označením nebo mechanickými klíči, snadná výměna součástek s kratší dobou života (pojistky), ošetření signálů z mechanických kontaktů proti vlivu odskakování kontaktů, zpracování pomalu se měnících signálů logickými obvody s hysterezní charakteristikou Schmittova klopného obvodu, ošetření nepoužitých vstupů logických obvodů a vstupů nepoužitých obvodů, k výstupům digitálních obvodů nepřipojovat přímo kapacitory s kapacitou větší než 0,5 nf, ochrana logických obvodů a tranzistorů s indukční zátěží (relé) paralelně zapojenou ochrannou diodou, ochrana vstupů a výstupů obvodů proti přepětí, zaručit, aby nemohlo být na vstupy obvodů CMOS přivedeno napětí, pokud obvody nemají připojeno svoje napájecí napětí,

87 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 87 správný návrh delších přenosových vedení a jejich správné impedanční zakončení (zkroucený dvojvodič a koaxiální kabely), zajistit snadnou diagnostiku zařízení, zabránění výskytu hazardních impulsů a stavů, nastavení definovaného stavu po zapnutí přístroje, dodržení bezpečnostních předpisů, obsluha zařízení musí být za všech okolností bezpečná, kvalitní, úplná a jednoznačná dokumentace pro případné opravy nebo zhotovení dalšího kusu, ochrana proti vnějšímu rušení, zabránit generování rušivých signálů, nepřekročit povolené mezní parametry použitých součástek Poznámky k oživování přístroje Při oživování digitálních zařízení je vhodné používat logickou sondu s indikací úrovně logické nuly a jedničky a možností zjišťovat i nanosekundové impulsy, případně s možností zachytit a načítat několik impulsů. Vynikající pomůckou je osciloskop, pro nejnáročnější případy paměťový. Budicí impulsy dodáváme z generátoru impulsů většinou s měnitelným kmitočtem a případně z generátoru slov. Jinak pochopitelně využijeme i běžné přístroje (voltmetr, miliampérmetr, ohmetr atd.). Můžeme použít i speciální výkonové sondy, kterými můžeme v daných místech zapojení vnucovat impulsy L nebo H, aniž bychom museli obvod rozpojit. Nejčastěji začínáme oživovat tak, že krok za krokem identifikujeme a kontrolujeme signály logickou sondou a osciloskopem podle schématu. Výsledky zaznamenáváme a na základě logické úvahy pak buď měříme v dalších bodech, nebo zapojení upravujeme tak, aby správně pracovalo. Je samozřejmé, že postupujeme od nejjednodušších funkcí ke složitějším. V některých případech musíme rozpojit některé složitější vazby (např. přerušením plošného spoje), abychom obvod pro diagnostiku dostatečně zjednodušili. Oživování si můžeme již při návrhu usnadnit tím, že umožníme některé sekvence krokovat, zhotovíme si vhodné přípravky (pole s přepínači a výstupy v potřebných napěťových úrovních apod.) nebo simulátory. Pokud v zájmu oživení upravujeme zapojení, neděláme dvě úpravy najednou, neboť bychom nemohli posoudit individuální vliv každé z nich. Podaří-li se závadu odstranit, analyzujeme všechny uskutečněné zásahy a úpravy tak, abychom zjistili, kde byla chyba. Všechny úpravy a změny průběžně zaznamenáváme do schématu. Pro oživování složitějších zařízení s mikroprocesory a mikrokontroléry se většinou neobejdeme bez logického nebo alespoň příznakového analyzátoru. Nejvhodnější však je mikroprocesorový vývojový systém, kterým také odlaďujeme programy.

88 88 FEKT Vysokého učení technického v Brně 8 Úprava hran logických signálů Většina logických CMOS, BiCMOS a TTL obvodů vyžaduje na své vstupy přivedení signálu s rychlými hranami, tedy krátkým náběžným a sestupným časem. Pokud tomu tak není a hrany signálu jsou příliš pomalé, hrozí nám v obvodu tok nadměrného proudu, kmitání obvodů a v krajním případě i jejich nevratné poškození. Bohužel, v celé řadě případů se pomalým hranám jen těžko vyhneme. Jedná se především o zapnutí spínačů či stisk tlačítka, které mají na svých pinech z důvodu odstranění zákmitů umístěné filtrační kondenzátory. Ovšem i výstupy jiných logických obvodů, pokud jsou zatížené například indukcí a kapacitou připojených vodičů, mohou představovat vážný problém. Strmost hran pravoúhlých nebo přesněji řečeno lichoběžníkových impulsů může tedy být kritickým parametrem správného logického zpracování signálů, neboť logické obvody jsou schopny bezchybně zpracovat jen ty logické signály, jejichž strmost hran je obvykle větší než 1 V/µs (přesná hodnota záleží na použité řadě logických obvodů). Hrany s menší strmostí mohou způsobit, že vstupní signál při průchodu rozhodovací oblasti na vstupu logického obvodu TTL vyvolá na jeho výstupu jeden nebo několik zákmitů než přejde výstupní napětí na odpovídající ustálenou úroveň. Při pomalém průchodu vstupního napětí přes rozhodovací úroveň se totiž může uplatnit okamžitá kombinace šumu, indukovaného rušení a teplotní nestability rozhodovací úrovně U R tak, že může dojít k zákmitům výstupního napětí. Mezní přípustné hodnoty strmosti vstupního signálu jsou u standardních obvodů řady 74HC 1,6 V/µs pro vzestupnou hranu a -3,3 V/µs pro sestupnou hranu. 4V u s t u 1 4V u 3 4V s t s t Obr. 70: Zlepšení strmosti hran Pro zlepšení strmosti hran impulsů se často používá kaskáda logických obvodů (např. invertorů) podle obr. 70. Každý z invertorů má v rozhodovací oblasti 1,3 až 1,5 V napěťová zesílení přibližně A U = uout 2 = 10 (48) uin 0,2 neboť výstupní napětí se odpovídajícím způsobem mění mezi hodnotami u L 0,4 V a u H 2,4 V. Kaskádou dvou invertorů dosáhneme zesílení A u 100 v rozhodovací oblasti 1,3 až 1,5 V vstupního napětí. V důsledku toho se zvětší i strmost hran výstupního signálu ve srovnání se vstupním signálem, a to v poměru A u :1. Obvod však není nijak chráněn proti vzniku zákmitů, pracuje v podstatě jako napěťový komparátor s jednou rozhodovací úrovní, u

89 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 89 něhož jsou jedinou ochranou proti vzniku zákmitů jeho vlastní setrvačné vlastnosti (integrátor). I v tomto případě proto musí mít vstupní signál u 1(t) hrany strmější než 1,5 V/µs u vzestupné hrany a -3,3 V/µs u sestupné hrany. Průběhy napětí u 1, u 2, u 3 jsou pro tento mezní případ strmosti nakresleny na obr Schmittův klopný obvod U standardních logických vstupů dochází k překlopení ve stejném bodě jak v případě náběžné, tak i sestupné hrany. Pokud se napětí hrany mění jen pomalu, je pravděpodobné, že dojde k otevření obou výstupních tranzistorů zároveň, čímž v obvodu vznikne požadavek na větší napájecí proud ze zdroje (U DD ). Pokud však takový proud není k dispozici (například vlivem nedostatečných či nevhodně navržených blokovacích kondenzátorů), dojde ke krátkodobému poklesu napětí. Tím však dojde také k posunu rozhodovacích úrovní vstupů a daný logický prvek se přepne zpět do předchozího stavu. V tu chvíli napětí opět stoupne a celý proces se opakuje. Výsledkem je kmitání obvodu, které se samozřejmě přenese i do rozvodu napájení. Pro zpracování pomalu se měnících napětí (strmost až 1 V/s) je nezbytné použít logické obvody s hysterezí, často nazývané jako Schmittův klopný obvod. Jsou to v podstatě komparátory s kladnou zpětnou vazbou a se dvěma rozhodovacími úrovněmi, které se přepínají podle okamžitého stavu na výstupu. Ta, přesto že nemusí být nijak zvlášť velká, dokáže zajistit jednoznačné překlopení vstupu a odstranění nežádoucího šumu. Velikost vstupní hystereze je obvykle součástí technické dokumentace obvodu, stejně jako mezní hodnoty napětí a proudu. Dnes mají tento typ vstupu celé řady logických součástek a mikrokontrolérů. Obr. 71: Schmittův klopný obvod [11] Pokud přivedeme na vstup obvodu rostoucí vstupní napětí k horní rozhodovací úrovni U RH (viz. obr. 71), změní se stav výstupu obvodu a současně s tím přejde obvod na svou dolní rozhodovací úroveň U RL. Jestliže v této situaci vstupní napětí poklesne na hodnotu U RL, vrátí se výstup obvodu do původního stavu a spolu s tím se vrátí obvod i na původní rozhodovací úroveň U RH. Tento mechanismus přepínání rozhodovacích úrovní v okamžiku aktivace kterékoli z nich účinně brání tomu, aby se nějakým nežádoucím způsobem uplatnily rušivé

90 90 FEKT Vysokého učení technického v Brně složky superponované na užitečný vstupní signál. Pro rozhodovací úrovně platí U RH > U RL, rozdílové napětí U R = U RH U RL, označujeme stručně jako hysterezi obvodu. Technická realizace logických členů s hysterezí spočívá nejčastěji v tom, že do cesty logického signálu je vložen Schmittův klopný obvod. Hysterezní převodní charakteristiku tohoto druhu má např. obvod typu SN74LVC1G14 (jednoduchý invertor) s hysterezí 0,56 V při napájení 3 V. Velmi často jsou takto upravenými vstupy vybaveny i mikrokontroléry, jako příklad si můžeme uvést MSP430F5172. Vynikající výhodou těchto obvodů s hysterezní převodní charakteristikou je, že dávají díky použití kladné zpětné vazby vždy tu největší strmost hran výstupních logických signálů, s níž je možné u dané řady obvodů počítat. Další předností je naprostá jednoznačnost odezvy. Ke vzniku zákmitů může dojít jen tehdy, přesáhne-li rozkmit rušivého napětí hodnotu U RH, a to nepřichází v normálních provozních podmínkách snad nikdy v úvahu. Praktická ukázka využití Schmittova KO je na obr. 72., kde je využit obvod 74LVC1G14 pro ošetření zákmitů tlačítka. Obr. 72: Ošetření vstupního tlačítka pomoci Schmittova KO [11] 8.2 Neautonomní generátory impulsů Při navrhování digitálních obvodů a systémů je častou úlohou vyrobit na nestandardní podnět, např. na stisknutí tlačítka, nebo naopak na uvolnění tlačítka, na podnět z koncového spínače či ze světelné závory standardní logický signál ve tvaru jediného impulsu v logických úrovních, obvykle pravoúhlého a s konstantní dobou trvání. Teprve takto standardizovaný logický signál je předmětem zpracování v dalších logických obvodech systému. K výrobě těchto impulsů se v převážné míře používají monostabilní klopné obvody, tedy neautonomní generátory, spouštěné vnějším podnětem, konkrétně vzestupnou, popř. sestupnou hranou řídicího signálu. a) b) Obr. 73: zapojení monostabilních klopných obvodů

91 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 91 Na obr. 73 jsou nakreslena dvě základní zapojení monostabilních klopných obvodů s logickými obvody NAND. V obou případech se generuje výstupní impuls přechodem do úrovně L, vyvolaným již sestupnou hranou vstupního signálu. Zapojení uvedené na obr. 73a je vhodné k prodlužování vstupního impulsu, při zkracování impulsu je závěrná vzestupná hrana výstupního impulsu málo strmá (to platí zejména pro impulsy větší šířky). Šířka impulsu je přibližně T i 0,7RC a při opakovaném buzení kolísá přibližně o ± 1,5 %. Pro katalogově zaručený vstupní proud invertoru i INL 1,6 ma v klasické bipolární technologii TTL a nejvyšší povolenou vstupní úroveň napětí u INL = 0,8 V standardního obvodu TTL musí být odpor R < 500. Pro většinu aplikací však můžeme volit až R = 1kΩ. Změní-li se napájecí napětí U DD o ± 6 %, změní se šířka impulsu až o ± 12 % při R = 220 Ω a o ± 8 % při R = 1kΩ. Mezi jednotlivými impulsy při opakovaném buzení musí být prodleva T P >3T I při R = 220 Ω a T p > 2T i při R = 1kΩ, aby se časovací kapacitor stačil dostatečně vybít. Při použití obvodů z řad 74ALS a 74HCT musíme vzít v úvahu, že tyto obvody mají podstatně menší vstupní proudy, takže je možné odpovídajícím způsobem zvětšit odpor R. Jinak však platí pro dimenzování obvodu obdobné závěry jako v předchozím případě. V zapojení podle obr. 73b nastavuje rezistorový dělič R 1, R 2 vstupní napětí pro logický člen 1, o velikosti asi 2,3 V a odpor R = R 1 R 2 paralelní kombinace rezistorů určuje spolu s kapacitou C zpětnovazebního kapacitoru časovou konstantu RC. Šířka impulsu pak je T i RC až T i 1,2RC. Změní-li se napájecí napětí o ± 6 %, změní se šířka impulsu T i o ± 6 %. Většímu napájecímu napětí odpovídá menší šířka impulsu. Zotavovací doba mezi opakovanými impulsy T P musí být delší než 5 T i. Správná funkce tohoto klopného obvodu je podmíněna tím, že budicí impuls musí mít menší šířku než vyráběný impuls. V opačném případě totiž bude stav logického členu 1 určen nikoli situací na rozhodovacím vstupu, na který je připojen časovací článek R 1, R 2, C, ale přetrvávající úrovní L na vyžadovacím vstupu a výstupní impuls proto skončí až při skončení budicího impulsu. Nedodrží-li se zotavovací doba T P > 5 RC po ukončení impulsu, zkracuje se předčasně vyžádaný následující impuls. u 1 U DD 0 t u 3 U DD U P u 2 0 U DD t 0 t a) b) Obr. 74: : Monostabilní klopný obvodů CMOS Na obr. 74 je nakresleno schéma základního monostabilního obvodu CMOS s hradly NOR. Klidová úroveň vstupního signálu je u 1 = L. Klidový stav obvodu je určen tím, že po ukončeném nabíjení kapacitoru C je na vstupu výstupního invertoru logická úroveň H (je připojen přes rezistor R na sběrnici napájecího napětí U DD), a proto je klidové výstupní napětí invertoru u 2 = L. Protože na obou vstupech logického členu NOR je úroveň L, je na jeho

92 92 FEKT Vysokého učení technického v Brně výstupu úroveň H a kapacitor C je vybit. Změna u 1 z úrovně L na H se projeví přechodem výstupu členu NOR do úrovně L Tato změna v podobě napěťového skoku o velikosti téměř U DD se ihned kapacitorem C přenese na vstup druhého hradla, jehož výstupní signál se změní z úrovně L do úrovně H. V tuto chvíli již může skončit spouštěcí impuls u 1. Napětí u 3 na vstupu invertoru mezitím exponenciálně narůstá s časovou konstantou RC z 0 V do rozhodovacího napětí U P invertoru. Při překročení této úrovně se vrátí výstupní stav invertoru z aktivní úrovně H zpět do klidové úrovně L. Celý proces generování impulsu potom ještě vnitřně doznívá: výstup členu NOR přejde do úrovně H a kapacitor C nabitý přibližně na napětí U DD se vybíjí přes rezistor R za účinné spolupráce ochranných diod na vstupu invertoru. 2 Tím se ukončí kyv monostabilního klopného obvodu. Bude-li spouštěcí impuls u 1 delší než je doba kyvu monostabilního klopného obvodu, projeví se to pozvolnější závěrnou hranou impulsu u 2. Na rozdíl od předchozího případu se totiž neuplatní kladná zpětná vazba přes člen NAND. Doba kyvu je dána dobou potřebnou k nabití kapacitoru C přes rezistor R přibližně na polovinu napájecího napětí, a proto je přibližně T i RC. ln2 0,7. RC. (49) Díky zanedbatelným vstupním proudům můžeme snadno dosáhnout doby kyvu řádu jednotek až desítek sekund. Častějším řešení využívaným pro generování impulsů konstantní šířky na vyžádání spouštěcím signálem je použití monolitických integrovaných obvodů. Jako příklad zde můžeme uvést obvod 74LV123. Tyto obvody se vyznačují velmi dobrou stabilitou parametrů při změně teploty (teplotní koeficient šířky impulsu je asi 10-4 / C) a jsou tedy zárukou stability při aplikacích ve zpožďovacích obvodech. Obvod 74LV123 obsahuje dvojici monostabilních klopných obvodů s možností nulování, u kterého je doba trvání impulsu nastavitelná vnějšími prvky R a C, a u něhož se dá vyžádat výroba impulsu jedním ze tří řídicích signálů CLR, A, B. Charakter vstupních obvodů je zřejmý ze schématické značky obvodu obr. 75a. Všechny řídící vstupy jsou ošetřeny hysterezí, nejmenší šířka vstupního pulzu je 6,5 ns (Pulse duration). Časovací prvky R 1 a C 1 se připojují k vývodům C, CR a k napájecímu napětí U DD. Doba impulsu je dána přibližným vztahem T W = K. R T. C T, (50) kde, K je koeficient, R T je externí rezistor v kω, C T je externí kapacita v pf. Koeficient je nutné zjistit z katalogového listu příslušného výrobce obvodu. Obvykle je nutné jej odečíst z grafu a jeho velikost je dána velikostí C T a R T. Například pro zvolený obvod SN74LV123A je pro C T = 1000 pf K = 1, C T < 1000 pf musíme K odečíst z grafu Vstup Výstup CLR A B Q Q L X X L H X H X L H X X L L H H L _ _ H H _ _ L H _ _ a) b) Obr. 75: Monostabilní klopný obvodů 74LV123

93 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 93 9 Odolnost digitálních systémů na rušení Odolnost elektrických systémů na rušení je známa jako elektromagnetická kompatibilita (EMC) je mezioborová disciplína, která se zabývá otázkami nežádoucího ovlivňování činnosti různých technických i biologických systémů účinky elektromagnetického pole. Vznik EMC souvisí s rozšiřováním elektroniky v nejrůznějších oborech lidské činnosti. Jedna z prvních aplikací se objevila v letectví a námořnictví, protože právě v letadlech a na lodích se soustřeďuje v malém prostoru velké množství rádiových prostředků současně s celou řadou elektronických a elektrických zařízení (vč. silových). Přitom bylo nutné zajistit jejich spolehlivou činnost bez vzájemného rušení a nepříjemného ovlivňování, neboli vytvořit stav jejich elektromagnetické kompatibility [16,17]. Jakožto mezioborová disciplína má EMC značně široký záběr zahrnující řadu oblastí. Z praktického hlediska v digitálních systémech je vhodné uvažovat elektromagnetickou kompatibilitu: na čipu integrovaného obvodu, uvnitř jednoho přístroje, ve složitějších systémech. Ve všech těchto případech problematika EMC zahrnuje: 1. zdroje rušivých signálů, 2. cesty přenosu rušivých signálů, 3. přijímače rušivých signálů, 4. snižování úrovně rušivých (interferenčních) signálů, 5. zlepšování odolnosti zařízení vůči rušivým signálům. přirozené atmosférické poruchy kosmické záření Vnější přeslechy regulérní el. rozvody a stroje Rušení generované (vyplývající z lidské činnosti) úmyslné rušení Vnitřní šumy (rezistory, PN přechody apod.) náhodné jiskření el. strojů, výbojky, rentgeny, motory rušivé vazby Obr. 76: Rozdělení elektromagnetického rušení

94 94 FEKT Vysokého učení technického v Brně Zdroje rušivých signálů. V oblasti zdrojů rušivých signálů se zkoumají zejména obecné otázky mechanismů vzniku rušení, jeho charakteru a intenzity. Z přehledu na obr. 76 vidíme, že rušivé signály mimo jiné vznikají všude tam, kde dochází k rychlým změnám napětí nebo proudu [16,17].. Mezi přirozené zdroje rušení patří hlavně elektrické výboje v ovzduší, prudké změny zemského magnetického i elektrického pole (elektromagnetické bouře, polární záře apod.) a elektromagnetická vlnění produkovaná kosmickými tělesy. Zdroj rušení Omezování velikosti a účinku nežádoucích elektromag. vazeb prostřední elektromagne tická vazba Měření rušivých polí a rušivých napětí Objekt příjímač rušení Analýza a prognostika složitých systémů z hlediska EMC Tvorba norem a předpisů EMC Vývoj a projektování nových systémů s respektováním zásad EMC Obr. 77: Základní členění oblastí elektromagnetické kompatibility a jejich návaznost

95 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 95 Tab. 12: Kmitočtový rozsah některých zdrojů rušivých signálů Zdroj Kmitočtové pásmo poruch Kmitočtové pásmo poruch šířících se po vedení šířících se prostorem zářivka 0,1 Hz až 3 MHz 100 Hz až 3 MHz rtuťová výbojka 0,1 Hz až 1 MHz kolektorové motory 2 Hz až 4 MHz 10 Hz až 400 khz síťové vypínače 0,5 Hz až 25 MHz výkonové spínače 10 Hz až 25 MHz 0,1 Hz až 20 MHz spínačové zdroje 0,1 Hz až 30 MHz 0,1 Hz až 30 MHz korónový výboj 0,1 Hz až 10 MHz klopné obvody 15 khz až 400 MHz kontakty termostatů 30 Hz až 1000 MHz kovové skříně přístrojů 10 Hz až 10 MHz neuzemněné Pro velkou rozmanitost umělých zdrojů rušení lze uvést pouze typické příklady. V elektrických provozech jde hlavně o generátory, vypínače, odpojovače a transformátory velkých elektrických výkonů, vedení velmi vysokého a vysokého napětí, ale také o náhodné zdroje jako sršení na znečištěných izolátorech a uvolněných připojovacích svorkách, korona, různá jiskření apod. V průmyslových provozech k tomu přistupují usměrňovače, obloukové pece, zařízení pro vf ohřev, stykače, svářecí agregáty a zvláště výkonové polovodičové měniče [16,17]. Obr. 78: Typy poruch šířících se po napájecí síti Z dalších zdrojů můžeme jmenovat některé lékařské přístroje, zářivkové osvětlení, spalovací motory, elektrickou trakci, ale i domácí elektrické spotřebiče a některá zařízení spotřební elektroniky. Řadí se k nim také zdroje vytvářející magnetostatická i elektrostatická pole. Všechny zmíněné zdroje je pak možno třídit podle různých hledisek, jako je kmitočtová oblast, časový průběh rušivého napětí, doba trvání, aj. Kmitočtový rozsah některých zdrojů je uveden v tab. 12., obr. 79 znázorňuje typy poruch, které se šíří po napájecí síti [16,17].

96 96 FEKT Vysokého učení technického v Brně Obr. 79: Rušení způsobené spínáním transformátoru Závažné mohou být i vnitřní zdroje rušení. Jako "vysílací antény" slouží veškeré dlouhé přívody, podlouhlé konstrukční díly zařízení, ale i dlouhé vodiče na deskách plošných spojů. Jsou-li na deskách rychlé integrované obvody, potom proudové impulsy na takové desce vyzařují signály se spektrem až v oblasti GHz. Dalším zdrojem rušení jsou spínané napájecí zdroje používané běžně pro napájení digitálních obvodů. Obr. 80 ilustruje vliv tvaru pulsů na spektrální hustotu. Obr. 80: Kmitočtové spektrum pro různé tvary pulsů

97 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 97 Zcela zvláštní zdroj rušení by představoval nukleární elektromagnetický impuls (EMP, častěji NEMP = Nuclear Electromagnetic Pulse). Každý jaderný výbuch je kromě běžně známých účinků provázen i vznikem intenzivního elektromagnetického impulsu. Jeho parametry jsou závislé především na velikosti nálože a místě výbuchu vůči zemskému povrchu. Při pozemním jaderném výbuchu je nutno počítat s rušivými i destruktivními účinky na elektrická i elektronická zařízení v okruhu 10 až 100 km od epicentra. Strmost vzrůstu NEMP je 20krát až 100krát vyšší než strmost nárůstu elektromagnetického pole vyvolaného bleskem. Indukované proudy, ať již v pláštích letadel či dlouhých pozemních vedeních, mohou dosáhnout i stovky, ba dokonce i několika tisíc ampérů. [16,17] 9.1 Vazební mechanismy přenosu rušivých signálů Podle základního řetězce EMC na obr. 81 závisí přenos interferenčních signálů z jejich zdroje do přijímače rozhodující měrou na elektromagnetickém vazebním prostředí, tedy na druhu a způsobu vazby mezi zdrojem a přijímačem rušení. Zdroj elektromagnetického rušení Motory, spínače, relé, energetické rozvody, polovodičové měniče, zářivky, obloukové pece, svářečky, oscilátory, počítače, číslicové systémy, elektrostatické výboje Přenosové prostředí, elektromagnetická vazba vzdušný prostor, energetické kabely, napájecí vedení, zemnění, stínění, signálové vodiče, datové vodiče Rušený objekt, přijímač rušení číslicová technika, počítače, měřicí přístroje, automatizační prostředky, systémy přenosu dat, rozhlasové přijímače, TV přijímače Obr. 81: Základní řetězec EMC s příklady pro jednotlivé oblasti řetězce Tyto vazby můžeme dělit podle různých hledisek. Např. podle technické realizace může být vazební prostředí tvořeno vzdušným prostorem, stínicími či absorpčními materiály, kmitočtovými filtry, signálovými či napájecími kabely, zemnícími systémy, elektroenergetickými soustavami a dalšími druhy systémových vazeb. Z hlediska fyzikálního principu (který je obvykle pro možnost potlačení parazitních vazeb nejdůležitější) rozlišujeme vazbu galvanickou, kapacitní, induktivní a vazbu vyzařováním (vazbu elektromagnetickým polem). Jejich základní principy působení mezi dvěma vodiči nebo obvody 1 a 2 jsou schematicky naznačeny na obr. 82. [16,17, 20]

98 98 FEKT Vysokého učení technického v Brně a) galvanická b) kapacitní Obr. 82: Druhy elektromagnetických vazeb c) induktivní d) vyzařováním Galvanické vazby se uplatňují na společných vodičích, kterými jsou nejčastěji vodiče napájecí nebo uzemňovací. Průtokem proudu, který je zdrojem rušení (např. impulsy ze spínaného zdroje, z hodinového oscilátoru, apod.), vzniká na odporu a při vyšších kmitočtech i na indukčnosti vodiče parazitní napětí, které se dostává do rušeného obvodu, má-li tento obvod s rušícím obvodem část společného vedení. Kapacitní vazby vznikají mezi vodiči vedenými blízko sebe, hlavně pak mezi vodiči vedenými ve větší délce paralelně, např. ve svazcích vodičů, v kabelech nebo na deskách plošných spojů. Induktivní vazbu můžeme pozorovat tam, kde rušící proud protéká smyčkou a rušený obvod obsahuje také prostorově blízkou smyčku. Induktivní vazba je tím silnější, čím je větší plocha smyček. Induktivní vazba také vzniká tehdy, pokud přijímací smyčkou prochází rozptylové magnetické pole transformátoru nebo tlumivky. Elektromagnetickou vazbu působí šíření elektromagnetické vlny buď po vedení, nebo volným prostorem. Jako vedení slouží elektromagnetické vlně elektrovodná síť, napájecí vodiče a někdy i vodiče uzemňovací nebo signálové (které přenášejí žádaný signál z jedné části do jiné). Jako anténa fungují jednak vodiče, jednak proudové smyčky. Elektromagnetická vazba je typická pro přenos rušivých signálů mezi prostorově oddělenými zařízeními, zatímco vazba galvanická je spíše typická pro přenos rušivých signálů v rámci jednoho zařízení. Na cesty přenosu rušivých signálů úzce navazuje problematika přijímačů rušení. Jde především o klasifikaci jednotlivých typů a podrobnou specifikaci rušivých účinků. To spolu s rozborem konstrukčních a technologických parametrů umožňuje např. objevovat příčiny malé odolnosti. Nejcitlivější na rušení jsou elektronická zařízení, která zpracovávají malé analogové signály. Jedná se především o rozhlasové a televizní přijímače, jejichž antény zachycují vedle žádaných signálů i signály poruchové, které se šíří prostorem ve formě elektromagnetických vln. Poruchy se šíří i po elektrorozvodné síti a pronikají do vf a nf obvodů elektronických zařízení, které jsou ze sítě napájeny (především elektroakustická zařízení a měřicí přístroje). Méně citlivé na rušení jsou zařízení digitální techniky. Tato odolnost byla jedním z hlavních důvodů digitalizace v elektronice (přístrojů i přenosových cest). Intenzivní zdroje rušení však mohou rušit i digitální přístroje tím, že silná jednorázová porucha změní hodnotu některého přenášeného bitu. [20] Oblast zabývající se způsoby snižování úrovně (omezování) rušivých napětí a polí produkovaných zdroji rušení se často označuje jako odrušování zdrojů rušení. Zmíněná

99 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 99 činnost se prakticky projevuje ve dvou hlavních směrech. Prvním z nich je snaha omezit vznik parazitních produktů přímo vhodnou konstrukcí. Ukazuje se, že v řadě případů se při zachování požadované funkce může docílit podstatného snížení rušivých produktů jen za cenu poměrně jednoduchých úprav a nepatrně zvýšených výrobních nákladů. Tato opatření závisí na druhu odrušovaného zařízení. V napájecích obvodech může pomoci použití spínačů spínajících v nule, změna režimu fázového řízení výkonových měničů, použití síťového transformátoru s toroidním jádrem (který má malé rozptylové pole) apod. Startéry zářivek jsou přemostěny odrušovacími kondenzátory, které zkratují vysokofrekvenční složky, vznikající při rozpojení startérového kontaktu. Další šíření do sítě pak omezuje tlumivka, jejíž hlavní funkcí je udržování stabilního výboje. Obdobně potlačujeme rušení od komutátorových motorků (jiskření kartáčů) zapojením kondenzátoru přímo mezi kartáče, další dva kondenzátory spojují kartáče s rušivým signálem s ochranným vodičem, statorové vinutí bývá rozděleno na dvě části, které svou indukčností dále brání šíření poruch. I jiné spínací kontakty přemosťujeme kondenzátory nebo členy RC. Přístroje rozdělujeme na zóny s různou úrovní rušení (často navzájem stíněné). Druhým směrem je doplnění již vyráběných zařízení vhodnými filtry. Největším problémem bývá samotný návrh filtru, vzhledem k obvyklému širokopásmovému charakteru zdroje rušení a značně se měnící impedanci zátěže a i vlastní realizace, v důsledku nedostatku vhodných součástek. Zvláštní pozornost se věnuje hospodaření spektrem (spectrum management) a otázkám spektrální čistoty signálu (kmitočtová syntéza a analýza, šum oscilátorů, synchronizace, filtrace). Snížení vzájemného rušení může vést i ke snižování vysílaných výkonů u sdělovacích systémů. Nezbytný je také pečlivý návrh spojů a desek plošných spojů. Rušivé signály, pronikající galvanickými vazbami od zdroje k přijímači, se omezí nejlépe tím způsobem, že uspořádáme vodiče tak, aby rušící a rušený okruh neměly žádnou společnou část. Jedná se nejčastěji o okruhy napájecí a zemnicí. Když není úplné oddělení okruhů možné, musí mít společná část minimální impedanci, tj. ohmický odpor a indukčnost. Odpor vodiče snížíme zvětšením jeho průřezu, přičemž na tvaru průřezu příliš nezáleží. Indukčnost se sníží použitím vodiče většího průměru. Lepšího efektu však dosáhneme použitím třeba i tenkého, ale širokého vodiče s obdélníkovým průřezem. Kapacitní přenosové cesty se omezí jednak umístěním rušeného okruhu co nejdále od okruhu rušícího, jednak použitím kovového stínění. Vestavění stínící přepážky z mědi nebo hliníku představuje účinné přerušení cesty rušivého signálu, ale bývá prostorově náročné. Použití stínících krytů nebo stíněných vodičů je z hlediska účinnosti rovnocenné, avšak zpravidla dochází ke zvýšení kapacit stíněných prvků proti zemi, což může nepříznivě ovlivňovat funkci zařízení. Zdrojem induktivních vazeb jsou jednak rozptylová pole transformátorů nebo tlumivek, jednak magnetická pole generovaná proudovými smyčkami. Pole smyček se omezí zmenšením jejich plochy. To platí pro smyčku "vysílací" i "přijímací". Při hledání přijímacích smyček si musíme uvědomit, že každý proud teče uzavřeným okruhem, tedy zpětné vodiče mají vést v těsné blízkosti vodičů přívodních. Z hlediska parazitní zpětné vazby by zemní část smyčky měla být samostatná, neměl by tu protékat žádný jiný proud. Na DPS se napájecí vodiče navrhují co nejširší, vedou se planparalelně nebo těsně vedle sebe, jejich součástí jsou blokovací kondenzátory. Rozptylová pole transformátorů a tlumivek se dají potlačit např. použitím hrníčkových nebo ještě lépe toroidních jader. Magnetickou vazbu lze omezit rovněž vhodným natočením zdroje rušivého pole tak, aby napětí indukované tímto polem do rušeného obvodu bylo minimální. Konečně je možné i magnetické stínění. Pro nízké kmitočty vyhovuje stínění z materiálu s velkou permeabilitou, pro kmitočty vysoké je často účinnější stínění měděné, které brání průniku vysokofrekvenčního magnetického pole tím, že se ve stínění indukují

100 100 FEKT Vysokého učení technického v Brně vířivé proudy. Nejdokonalejší stínění pro magnetické pole je pak vícenásobné stínění pomocí přepážek či krytů, sestavených z několika vrstev střídavě vodivých a magnetických [16,17] Induktivní vazba Prochází-li obvodem elektrický proud, vzniká v okolním prostoru magnetické pole, a to konstantní nebo proměnné, v závislosti na časovém průběhu proudu. Ve vodičích, které jsou v časově proměnném magnetickém poli se indukuje napětí, jehož velikost roste se zvyšováním kmitočtu, příp. s rostoucí rychlostí časových změn proudu v primárním obvodu. Při časové změně magnetického toku Φ se v obvodu indukuje rušivé napětí dané Faradayovým indukčním zákonem jako U r = dφ dt ΔΦ ΔB = S Δt Δt = μ r S ΔH, (51) Δt kde S je plocha smyčky, v níž je rušivé napětí indukováno. Je-li magnetické pole vytvářeno průtokem proudu I vodičem přibližně kruhového průřezu, lze pro jeho intenzitu H ve vzdálenosti r podle Ampérova zákona celkového proudu psát H = I 2πr, (52) takže indukované rušivé napětí U r μ 0S ΔI 2πr Δt. (53) Parazitní induktivní vazba je nebezpečná zejména v případech rychlých změn primárního (rušivého) proudu velké velikosti. Tyto situace nastávají typicky při elektrostatických výbojích, ať již přírodních (blesk) či umělých lokálních výbojích (ESD). Proudové impulzy bleskového výboje dosahují strmosti jednotek až stovek ka/ms, impulzy lokálních výbojů ESD mají typickou strmost jednotek až desítek A/ns. Dle vztahu (53) tak v řadě případů dochází k indukování nepřípustně velkých rušivých napětí v parazitně vázaných elektronických obvodech. [20] Pro minimalizaci parazitní induktivní vazby mezi obvody je třeba, aby: délka souběžně probíhajících vodičů obou obvodů byla minimální; vzdálenost obou obvodů byla co největší; velikost proudové smyčky rušeného obvodu (obvodu přijímače) byla co nejmenší. Další způsoby omezení parazitní induktivní vazby dvou obvodů či přívodů jsou na obr. 83. a) pomoci závitu nakrátko b) kolmým natočením vazebních smyček

101 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 101 c) zkroucením vodičů obvodu přijímače d) stíněním obvodu přijímače Obr. 83: Způsoby omezení induktivní vazby Jak plyne z předchozích rozborů, dochází k významným parazitním induktivním, ale i kapacitním vazbám zejména při souběžném vedení energetických silových kabelů s datovými a signálovými kabely či s kabely řídicích obvodů a systémů. K této situaci přitom dochází téměř ve všech běžných budovách, kde všechny druhy kabelových rozvodů bývají na dlouhých úsecích paralelně vedeny společnými šachtami a stavebními rozvody. Z hlediska maximálního omezení parazitních vazeb mezi kabely je nezbytně nutné jejich rozdělení do samostatných vzájemně elektromagneticky stíněných rozvodných sekcí. Dva obvyklé způsoby konstrukce jsou uvedeny na obr. 84. [20] Obr. 84: Omezení parazitních vazeb mezi souběžnými kabely jejich separátním vedením ve stíněných sekcích Kapacitní vazba Kapacitní vazba je způsobena existencí parazitních kapacit mezi vodiči (rušicím a rušeným) nebo mezi jednotlivými částmi obvodů či konstrukce zařízení. Parazitní kapacitou modelujeme elektrické pole, které existuje mezi každými dvěma vodiči (vodivými částmi) s různým potenciálem. Tato situace typicky nastává např. při souběžném vedení energetických a signálových či datových kabelů a linek, příp. při paralelním vedení vodivých drah plošných spojů.

102 102 FEKT Vysokého učení technického v Brně V technické praxi existuje velké množství různých variant parazitních kapacitních vazeb mezi různými typy obvodů. Kapacitní vazba galvanicky oddělených obvodů je zobrazena na obr. 85a. Vodiče 1 a 2 představují rušicí vedení, vodiče 3 a 4 rušené vedení. V případě, že délka obou vedení l je podstatně kratší než vlnová délka rušivého signálu o nejvyšším uvažovaném kmitočtu, lze obvod a vazby v něm popsat náhradním schématem podle obr. 85b. V něm je náhradní impedance Z i závislá na impedančních parametrech rušicího obvodu 1-2 a náhradní impedance Z i závisí na impedančních parametrech rušeného obvodu 3-4. Ze schématu je zřejmé, že výstupní rušivé napětí U r rušeného obvodu bude nejmenší při vyvážení příslušného kapacitního můstku, tedy např. při C 13 C 23 a C 14 C 24. Toho lze dosáhnout zkroucením obou párů vodičů (vodiče 1 a 2 a také vodiče 3 a 4), příp. aspoň rušeného vedení, tj. vodičů 3 a 4. Touto úpravou se rovněž sníží parazitní induktivní vazba obou vedení. [20] a) b) Obr. 85: Parazitní kapacitní vazba galvanicky oddělených obvodů a) b) Obr. 86: Snížení kapacitní vazby stíněním galvanicky oddělených obvodů Kapacitní vazbu lze také snížit použitím stíněných vodičů dle obr. 86a, jejichž dobře vodivá stínění S1 a S2 jsou galvanicky spojena s jedním (vztažným) vodičem každého páru vedení (obvodu). Z náhradního obvodu na obr. 86b je patrné, že velikost přeneseného rušivého napětí U r je úměrná poměru kapacit C 13 a C 24 podle vztahu (54), ze kterého vyplývá, že stínicí účinek C 34 C 34 je tím lepší, čím větší je kapacita C 34 mezi živým vodičem rušeného obvodu a jeho stíněním ve srovnání s kapacitami C 13 a C 24.

103 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO U r =U 12 * 1 + C 34 C + C. 34 (54) 13 C 24 S parazitní kapacitní vazbou se nejčastěji setkáváme v analogových a digitálních obvodech, kde se vytváří mezi obvody se společným (vztažným) vodičem, jak je uvedeno obr. 87. Parazitní kapacita C 13 ovlivňuje signálový výstup obvodu A, a tím i vstup nesouvisejícího invertoru D. Oba obvody mají společný vztažný vodič 2. Analýzou náhradního schématu lze zjistit kmitočtový průběh parazitního kapacitního přenosu mezi vodičem 1 (rušícím) a 3 (rušeným). Stejný typ vazby také nastává mezi vodiči ve vícežilovém kabelu. [20] a) možný vznik v číslicových obvodech b) náhradní schéma Obr. 87: Kapacitní vazba mezi obvody se společným vodičem Velikost přeneseného rušivého napětí s rostoucím kmitočtem nejprve roste (obr. 88), až na vysokých kmitočtech zůstává konstantní s maximální hodnotou C 13 U rmax =U 1 *. C 13 + C (55) 23 Parazitní přenosový obvod dle schématu na obr. 87b v podstatě představuje derivační článek. Tato jeho vlastnost se projevuje zejména právě v číslicových obvodech, kde funkční signály mají pulzní charakter. Přenesené rušivé napětí má pak podobu úzkých impulzů, pro jejichž maximální velikost lze odvodit přibližný vztah U rmax R 23 *C 13 u 1 t, (56) kde R 23 představuje výsledný odpor mezi vodiči 3 a 2 a u 1 t vyjadřuje časovou změnu napětí výstupu obvodu A na obr. 87a.

104 104 FEKT Vysokého učení technického v Brně vazby: Obr. 88: Kmitočtová závislost přeneseného rušivého napětí Ze vztahů (54) a (55) plynou potřebná opatření pro snížení tohoto druhu kapacitní Zmenšit vazební kapacitu C 13, čehož lze dosáhnout maximálním vzájemným oddálením obou vodičů 1 a 3 jejich co nejkratším souběžným vzájemným vedením, příp. zamezením jejich souběžnému vedení, volbou co nejmenších průřezů obou vodičů a co nejmenší hodnotou permitivity izolace mezi vodiči, příp. permitivity materiálu desky plošného spoje. Realizovat co největší kapacitu C 23, která na vstupu ovlivňovaného obvodu omezuje velikost přeneseného rušivého napětí dle vztahu (54). To lze provést např. vzájemným těsným přiblížením, případně zkroucením vodiče 3 se vztažným vodičem 2. Zajistit nízkoohmové impedanční poměry v navázaném (ovlivňovaném) obvodu, tedy hodnotu R 23 udržovat na co nejmenší velikosti. Rychlost časových změn všech signálů v obvodu u t omezit na minimální možnou hodnotu postačující ke správné činnosti daného obvodu. To znamená, že digitální obvody by měly pracovat se signály jen o takových opakovacích kmitočtech, jež jsou nezbytně nutné pro jejich správnou funkci, nikoli se zbytečně vyššími. Vzájemně elektricky odstínit oba ovlivňující se vodiče buď stíněním vodiče, nebo zavedením pomocného stínicího spoje s nulovým potenciálem na desce plošného spoje mezi vodiče 1 a 3, viz obr. 89. a) stíněním ovlivňovaného vodiče b) vložením pomocného stínění S na desku plošných spojů Obr. 89: Omezení kapacitní vazby

105 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 105 Účinkem stínění S vodiče 3 se zmenší parazitní vazební kapacita C 13 a současně se zvětší kapacita C 23, což obojí má za následek zmenšení přeneseného rušivého napětí na výstupu vodiče 3. Stínění přitom musí být z dobře vodivého materiálu, aby i na vysokých kmitočtech představovalo dokonalý zkrat. Nebude-li tato podmínka dostatečně splněna, vzniká na vlastní impedanci stínění dle obr. 89a průtokem rušivého proudu napětí, které se přenáší na vstup chráněného obvodu a účinek stínění je nedostačující. Na desce s plošnými spoji lze stínění realizovat pomocí vodivého spoje naneseného mezi vodiče 1 a 3 podle obr. 89b spojeného se vztažným vodičem o nulovém potenciálu. [20] Galvanická vazba Galvanická vazba, neboli vazba společnou impedancí, je vazbou dvou elektrických systémů či bloků, jejichž proudové smyčky se uzavírají společnými úseky spojovacích vedení, tedy přes společnou impedanci. Tato impedance, která má nejčastěji charakter sériového obvodu RL, může být tvořena např. vnitřní impedancí společného napájecího zdroje obvodu (obr. 90a), společného přívodu řídicích obvodů (obr. 90b) nebo impedancí společného zemnícího systému (obr. 91). Společnou impedancí tečou proudy všech bloků, takže napětí U r vznikající na této impedanci průtokem proudu prvního bloku představuje pro druhý blok rušivé napětí. Na nízkých kmitočtech (v pásmu khz) je rozhodující část společné impedance tvořena odporovou složkou R. [20] a) impedance v napájecím vedení b) impedance v zemnícím vedení Obr. 90: Galvanická vazba V oblasti vyšších kmitočtů se uplatňuje především induktivní složka, na níž i poměrně malý proud prvního bloku může při rychlé časové změně vyvolat velké napětí, které je rušivé pro druhý blok zařízení. Indukčnost L společné impedance a kapacity spojů i součástí tvoří navíc rezonanční obvody, jejichž vlivem může být společná impedance (a tím i galvanická vazba) na některých vyšších kmitočtech dosti velká. Obr. 91: Galvanická vazba společného zemnícího systému

106 106 FEKT Vysokého učení technického v Brně Častým případem parazitní galvanické vazby je vzájemná vazba dvou systémů či zařízení zapříčiněná tzv. zemní smyčkou, která vzniká v případě jejich separátního zemnění ve dvou různých bodech. Vlivem nahodilých zemních proudů (tzv. bludných proudů) vzniká mezi těmito body nahodilé rušivé zemní napětí U z. Podle obr. 92 pak toto napětí přes uzavřenou zemní smyčku vyvolává na vstupu zařízení 2 (spotřebiče) rušivé napětí U r. Základním principem zmenšení tohoto rušivého napětí je zvětšit celkovou impedanci zemní smyčky, zvýšit její útlum, případně ji elektricky zcela rozpojit. Nízkofrekvenční přerušení zemní smyčky lze realizovat jednobodovým uzemněním celého systému. Zemní smyčka je tak galvanicky rozpojena malou zemní kapacitou zařízení 2 (přijímače) vůči společné zemi. Celkový přenosový útlum takto rozpojené smyčky je na nízkých kmitočtech velmi vysoký, s rostoucím kmitočtem se však zmenšuje až na hodnotu galvanicky uzavřené smyčky. Na vysokých kmitočtech útlum smyčky (i galvanicky uzavřené) nejprve opět roste se vzrůstem její vysokofrekvenční impedance vlivem povrchového jevu, dále však její hodnota periodicky klesá a opět roste tak, jak se zde uplatňuje periodická změna impedance spojovacích vedení obou systémů na velmi vysokých kmitočtech. [20] Obr. 92: Galvanická vazba společného zemnicího systému Pro minimalizaci galvanických vazeb společnou impedancí napájecích, signálových či zemních obvodů je zejména nutné dostatečně dimenzovat společný zemnicí vodič, zemní plochu a jednotlivé bloky k němu propojovat přímou cestou masivním vodičem. Těmito kroky se sníží velikost vstupního rušivého zemního napětí U z zemní smyčky. U signálových vodičů je třeba neslučovat společný vodič a nevytvářet společné části napájecích přívodů k jednotlivým blokům, dále také elektronická zařízení různých technologií vybavit samostatnými napájecími zdroji, a pokud je to možné, tak zcela vzájemně galvanicky oddělit např. funkčně související signálové a výkonové obvody jednoho zařízení [20]. 9.2 Útlum Pro porozumění dané problematice je nejprve nutné definovat základní parametry, které jsou pro stínicí vlastnosti důležité [20]. Hlavním parametrem je pro nás účinnost stínění SE. Vztah pro účinnost stínění SE [db] ve vzdáleném elektromagnetickém poli lze definovat následujícím tvarem, který odpovídá fyzikálním mechanismům vzniku stínicího efektu jako SE [db] = R [db] + A [db] + M [db], (57) kde R je útlum odrazem, A je absorpční útlum a M je útlum mnohonásobnými odrazy. Vliv jednotlivých složek je patrný z obrázku obr. 93.

107 Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO 107 Obr. 93: Vliv jednotlivých složek na účinnost stínění [20] Útlum odrazem Útlum odrazem R vzniká vlivem částečného odrazu energie vlny na impedančním rozhraní mezi vzduchem (dielektrikem) s impedancí Z 0 a kovovou stěnou přepážky s impedancí Z M a rovněž na "výstupním" rozhraní mezi kovovou stěnou Z M a dielektrikem (vzduchem) Z 0. Jeho velikost R v[db] je R = 20 log (Z 0 + Z M ) 2 4Z 0 Z M [db], (58) přičemž lze zřejmě psát R = 20 log (Z 0 + Z M ) 2 2Z M (Z 0 + Z M ) 2 4Z 0 = R 1 + R 2 [db] (59) Zde R 1 (R 2 ) vyjadřuje útlum odrazem vlny na "vstupním" rozhraní vzduch - kompozit ("výstupním" rozhraní kompozit - vzduch). Je-li stínicí stěna vytvořena z dobrého vodiče, kdy Z 0 >> Z M, je celkový útlum odrazem (59) přibližně roven R 20 log Z 0 4Z M [db], neboli R 1 20 log Z 0 2Z M (60) Z rovnice (60) je zřejmé, že hlavní útlum odrazem elektrického pole vzniká na "vstupním" rozhraní (elektrické pole je na dobrém vodiči přibližně "nakrátko") a pouze malá část energie vlny postoupí až k "výstupnímu" rozhraní, jehož přenos je přibližně konstantní. Útlum odrazem tedy nezávisí na tloušťce t stínicí stěny, takže účinné elektrické stínění lze vytvořit i z tenké, avšak vysoce vodivé (Z 0 >> Z M ) přepážky [17, 20] Absorpční útlum Tento útlum vzniká pohlcením části energie elektromagnetické vlny při jejím průchodu stínicí přepážkou o tloušťce t vlivem tepelných ztrát. Účinnost stínění SE (útlum stínění) je rovna

DIGITÁLN LNÍ OBVODY A MIKROPROCESORY 1. ZÁKLADNÍ POJMY DIGITÁLNÍ TECHNIKY

DIGITÁLN LNÍ OBVODY A MIKROPROCESORY 1. ZÁKLADNÍ POJMY DIGITÁLNÍ TECHNIKY DIGITÁLN LNÍ OBVODY A MIKROPROCESORY BDOM Prof. Ing. Radimír Vrba, CSc. Doc. Ing. Pavel Legát, CSc. Ing. Radek Kuchta Ing. Břetislav Mikel Ústav mikroelektroniky FEKT VUT @feec.vutbr.cz

Více

Booleova algebra. ZákonyBooleovy algebry Vyjádření logických funkcí

Booleova algebra. ZákonyBooleovy algebry Vyjádření logických funkcí Booleova algebra ZákonyBooleovy algebry Vyjádření logických funkcí pravdivostní tabulka logický výraz seznam indexů vstupních písmen mapa vícerozměrná krychle 30-1-13 O. Novák 1 Booleova algebra Booleova

Více

2. LOGICKÉ OBVODY. Kombinační logické obvody

2. LOGICKÉ OBVODY. Kombinační logické obvody Hardware počítačů Doc.Ing. Vlastimil Jáneš, CSc, K620, FD ČVUT E-mail: janes@fd.cvut.cz Informace a materiály ke stažení na WWW: http://www.fd.cvut.cz/personal/janes/hwpocitacu/hw.html 2. LOGICKÉ OBVODY

Více

Booleovská algebra. Booleovské binární a unární funkce. Základní zákony.

Booleovská algebra. Booleovské binární a unární funkce. Základní zákony. Booleovská algebra. Booleovské binární a unární funkce. Základní zákony. Tomáš Bayer bayertom@natur.cuni.cz Katedra aplikované geoinformatiky a kartografie, Přírodovědecká fakulta UK. Tomáš Bayer bayertom@natur.cuni.cz

Více

P4 LOGICKÉ OBVODY. I. Kombinační Logické obvody

P4 LOGICKÉ OBVODY. I. Kombinační Logické obvody P4 LOGICKÉ OBVODY I. Kombinační Logické obvody I. a) Základy logiky Zákony Booleovy algebry 1. Komutativní zákon duální forma a + b = b + a a. b = b. a 2. Asociativní zákon (a + b) + c = a + (b + c) (a.

Více

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je vstup určen jen výhradně kombinací vstupních veličin. Hodnoty

Více

Základy číslicové techniky. 2 + 1 z, zk

Základy číslicové techniky. 2 + 1 z, zk Základy číslicové techniky 2 + 1 z, zk Ing. Vít Fábera, K614 e-mail: fabera@fd.cvut.cz K508, 5. patro, laboratoř, 2 2435 9555 Ing. Tomáš Musil, Ph.D., K620 e-mail: musil@asix.cz K508, 5. patro, laboratoř,

Více

12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace.

12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace. 12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace. Logická proměnná - proměnná nesoucí logickou hodnotu Logická funkce - funkce přiřazující

Více

Logické řízení. Náplň výuky

Logické řízení. Náplň výuky Logické řízení Logické řízení Náplň výuky Historie Logické funkce Booleova algebra Vyjádření Booleových funkcí Minimalizace logických funkcí Logické řídicí obvody Blokové schéma Historie Číslicová technika

Více

Logické proměnné a logické funkce

Logické proměnné a logické funkce Booleova algebra Logické proměnné a logické funkce Logická proměnná je veličina, která může nabývat pouze dvou hodnot, označených 0 a I (tedy dvojková proměnná) a nemůže se spojitě měnit Logická funkce

Více

DIGITÁLNÍ OBVODY A MIKROPROCESORY

DIGITÁLNÍ OBVODY A MIKROPROCESORY DIGITÁLNÍ OBVODY A MIKROPROCESORY Garant předmětu: Prof., Ing. Radimír Vrba, CSc. Autoři textu: Prof., Ing. Radimír Vrba, CSc., Doc., Ing. Pavel Legát, CSc., Ing. Radek Kuchta, Ing. Břetislav Mikel 2 Fakulta

Více

1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD

1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD .. Minimalizace logické funkce a implementace do cílového programovatelného obvodu Zadání. Navrhněte obvod realizující neminimalizovanou funkci (úplný term) pomocí hradel AND, OR a invertorů. Zaznamenejte

Více

Binární logika Osnova kurzu

Binární logika Osnova kurzu Osnova kurzu 1) Základní pojmy; algoritmizace úlohy 2) Teorie logického řízení 3) Fuzzy logika 4) Algebra blokových schémat 5) Vlastnosti členů regulačních obvodů 6) Vlastnosti regulátorů 7) Stabilita

Více

Způsoby realizace této funkce:

Způsoby realizace této funkce: KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je výstup určen jen výhradně kombinací vstupních veličin. Hodnoty výstupních veličin nezávisejí na předcházejícím stavu logického obvodu, což znamená, že kombinační

Více

4. Elektronické logické členy. Elektronické obvody pro logické členy

4. Elektronické logické členy. Elektronické obvody pro logické členy 4. Elektronické logické členy Kombinační a sekvenční logické funkce a logické členy Elektronické obvody pro logické členy Polovodičové paměti 1 Kombinační logické obvody Způsoby zápisu logických funkcí:

Více

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1.

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1. Y36SAP 26.2.27 Y36SAP-2 Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka 27-Kubátová Y36SAP-Logické obvody Logický obvod Vstupy a výstupy nabývají pouze hodnot nebo Kombinační obvod popsán

Více

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Číselné vyjádření hodnoty. Kolik váží hrouda zlata? Čísla a logika Číselné vyjádření hodnoty Au Kolik váží hrouda zlata? Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží

Více

3. REALIZACE KOMBINAČNÍCH LOGICKÝCH FUNKCÍ

3. REALIZACE KOMBINAČNÍCH LOGICKÝCH FUNKCÍ 3. REALIZACE KOMBINAČNÍCH LOGICKÝCH FUNKCÍ Realizace kombinační logické funkce = sestavení zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné v souhlasu se zadanou logickou funkcí.

Více

Číslicové obvody základní pojmy

Číslicové obvody základní pojmy Číslicové obvody základní pojmy V číslicové technice se pracuje s fyzikálními veličinami, které lze popsat při určité míře zjednodušení dvěma stavy. Logické stavy binární proměnné nabývají dvou stavů:

Více

Logické funkce a obvody, zobrazení výstupů

Logické funkce a obvody, zobrazení výstupů Logické funkce a obvody, zobrazení výstupů Digitální obvody (na rozdíl od analogových) využívají jen dvě napěťové úrovně, vyjádřené stavy logické nuly a logické jedničky. Je na nich založeno hodně elektronických

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

Úvod do informačních technologií

Úvod do informačních technologií Úvod do informačních technologií přednášky Jan Outrata září prosinec 2009 (aktualizace září prosinec 2012) Jan Outrata (KI UP) Úvod do informačních technologií září prosinec 2012 1 / 58 Binární logika

Více

LOGICKÉ OBVODY 2 kombinační obvody, minimalizace

LOGICKÉ OBVODY 2 kombinační obvody, minimalizace LOGICKÉ OBVODY 2 kombinační obvody, minimalizace logické obvody kombinační logické funkce a jejich reprezentace formy popisu tabulka, n-rozměrné krychle algebraický zápis mapy 9..28 Logické obvody - 2

Více

Úvod do informačních technologií

Úvod do informačních technologií Úvod do informačních technologií Jan Outrata KATEDRA INFORMATIKY UNIVERZITA PALACKÉHO V OLOMOUCI přednášky Binární logika Jan Outrata (Univerzita Palackého v Olomouci) Úvod do informačních technologií

Více

Booleovská algebra. Pravdivostní tabulka. Karnaughova mapa. Booleovské n-krychle. Základní zákony. Unární a binární funkce. Podmínky.

Booleovská algebra. Pravdivostní tabulka. Karnaughova mapa. Booleovské n-krychle. Základní zákony. Unární a binární funkce. Podmínky. Booleovská algebra. Pravdivostní tabulka. Karnaughova mapa. Booleovské n-krychle. Základní zákony. Unární a binární funkce. Podmínky. Tomáš Bayer bayertom@natur.cuni.cz Katedra aplikované geoinformatiky

Více

VÝUKOVÝ MATERIÁL. Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632 Číslo projektu

VÝUKOVÝ MATERIÁL. Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632 Číslo projektu VÝUKOVÝ MATERIÁL Identifikační údaje školy Vyšší odborná škola a Střední škola, Varnsdorf, příspěvková organizace Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY KOMBINAČNÍ LOGICKÉ OBVODY Použité zdroje: http://cs.wikipedia.org/wiki/logická_funkce http://www.ibiblio.org http://martin.feld.cvut.cz/~kuenzel/x13ups/log.jpg http://www.mikroelektro.utb.cz http://www.elearn.vsb.cz/archivcd/fs/zaut/skripta_text.pdf

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Realizace kombinačních logických funkcí Realizace kombinační logické funkce = sestavení zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné

Více

Sylabus kurzu Elektronika

Sylabus kurzu Elektronika Sylabus kurzu Elektronika 5. ledna 2004 1 Analogová část Tato část je zaměřena zejména na elektronické prvky a zapojení v analogových obvodech. 1.1 Pasivní elektronické prvky Rezistor, kondenzátor, cívka-

Více

Minimalizace logické funkce

Minimalizace logické funkce VYSOKÉ UČENÍ TEHNIKÉ V RNĚ FKULT ELEKTROTEHNIKY KOMUNIKČNÍH TEHNOLOGIÍ Ústav mikroelektroniky LORTORNÍ VIČENÍ Z PŘEDMĚTU Digitální integrované obvody Minimalizace logické funkce Michal Krajíček Martin

Více

Obsah DÍL 1. Předmluva 11

Obsah DÍL 1. Předmluva 11 DÍL 1 Předmluva 11 KAPITOLA 1 1 Minulost a současnost automatizace 13 1.1 Vybrané základní pojmy 14 1.2 Účel a důvody automatizace 21 1.3 Automatizace a kybernetika 23 Kontrolní otázky 25 Literatura 26

Více

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ Odlišnosti silových a ovládacích obvodů Logické funkce ovládacích obvodů Přístrojová realizace logických funkcí Programátory pro řízení procesů Akční členy ovládacích

Více

teorie logických spojek chápaných jako pravdivostní funkce

teorie logických spojek chápaných jako pravdivostní funkce Výroková logika teorie logických spojek chápaných jako pravdivostní funkce zabývá se způsoby tvoření výroků pomocí spojek a vztahy mezi pravdivostí různých výroků používá specifický jazyk složený z výrokových

Více

Formální systém výrokové logiky

Formální systém výrokové logiky Formální systém výrokové logiky 1.Jazyk výrokové logiky Nechť P = {p,q,r, } je neprázdná množina symbolů, které nazýváme prvotní formule. Symboly jazyka L P výrokové logiky jsou : a) prvky množiny P, b)

Více

2. ÚVOD DO OVLÁDACÍ TECHNIKY

2. ÚVOD DO OVLÁDACÍ TECHNIKY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 2. ÚVOD DO OVLÁDACÍ TECHNIKY OVLÁDACÍ TECHNIKA A LOGICKÉ ŘÍZENÍ 2.1.5 LOGICKÉ FUNKCE Cíle: Po prostudování

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

Neuronové sítě Minimalizace disjunktivní normální formy

Neuronové sítě Minimalizace disjunktivní normální formy Neuronové sítě Minimalizace disjunktivní normální formy Zápis logické funkce Logická funkce f : {0, 1} n {0, 1} Zápis základní součtový tvar disjunktivní normální forma (DNF) základní součinový tvar konjunktivní

Více

Základy číslicové techniky z, zk

Základy číslicové techniky z, zk Základy číslicové techniky 2 + 1 z, zk Doc. Ing. Vlastimil Jáneš, CSc., K620 e-mail: janes@fd.cvut.cz K508, 5. patro, laboratoř, 2 2435 9555 Ing. Vít Fábera, K614 e-mail: fabera@fd.cvut.cz K508, 5. patro,

Více

Logika. 2. Výroková logika. RNDr. Luděk Cienciala, Ph. D.

Logika. 2. Výroková logika. RNDr. Luděk Cienciala, Ph. D. Logika 2. Výroková logika RNDr. Luděk Cienciala, Ph. D. Tato inovace předmětu Úvod do logiky je spolufinancována Evropským sociálním fondem a Státním rozpočtem ČR, projekt č. CZ. 1.07/2.2.00/28.0216, Logika:

Více

1 z 9 9.6.2008 13:27

1 z 9 9.6.2008 13:27 1 z 9 9.6.2008 13:27 Test: "TVY_KLO" Otázka č. 1 Převodníku je: kombinační logický obvod, který převádí jeden binární kód do druhého Odpověď B: obvod, pomocí kterého můžeme převádět číslo z jedné soustavy

Více

SEKVENČNÍ LOGICKÉ OBVODY

SEKVENČNÍ LOGICKÉ OBVODY Sekvenční logický obvod je elektronický obvod složený z logických členů. Sekvenční obvod se skládá ze dvou částí kombinační a paměťové. Abychom mohli určit hodnotu výstupní proměnné, je potřeba u sekvenčních

Více

Výroková logika - opakování

Výroková logika - opakování - opakování ormální zavedení Výroková formule: Máme neprázdnou nejvýše spočetnou množinu A výrokových proměnných. 1. Každá proměnná je výroková formule 2. Když α, β jsou formule, potom ( α), (α β), (α

Více

Výroková a predikátová logika - II

Výroková a predikátová logika - II Výroková a predikátová logika - II Petr Gregor KTIML MFF UK ZS 2015/2016 Petr Gregor (KTIML MFF UK) Výroková a predikátová logika - II ZS 2015/2016 1 / 18 Základní syntax Jazyk Výroková logika je logikou

Více

Návrh synchronního čítače

Návrh synchronního čítače Návrh synchronního čítače Zadání: Navrhněte synchronní čítač mod 7, který čítá vstupní impulsy na vstupu x. Při návrhu použijte klopné obvody typu -K a maximálně třívstupová hradla typu NAND. Řešení: Čítač

Více

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení Měřicí a řídicí technika bakalářské studium - přednášky LS 28/9 LOGICKÉ ŘÍZENÍ matematický základ logického řízení kombinační logické řízení sekvenční logické řízení programovatelné logické automaty Matematický

Více

Title: IX 6 11:27 (1 of 6)

Title: IX 6 11:27 (1 of 6) PŘEVODNÍKY ANALOGOVÝCH A ČÍSLICOVÝCH SIGNÁLŮ Převodníky umožňující transformaci číslicově vyjádřené informace na analogové napětí a naopak zaujímají v řídícím systému klíčové postavení. Značná část měřených

Více

U Úvod do modelování a simulace systémů

U Úvod do modelování a simulace systémů U Úvod do modelování a simulace systémů Vyšetřování rozsáhlých soustav mnohdy nelze provádět analytickým výpočtem.často je nutné zkoumat chování zařízení v mezních situacích, do kterých se skutečné zařízení

Více

Zvyšování kvality výuky technických oborů

Zvyšování kvality výuky technických oborů Zvyšování kvality výuky technických oborů Klíčová aktivita V.2 Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol Téma V.2.1 Logické obvody Kapitola 7 Základní pojmy

Více

Výroková logika. Teoretická informatika Tomáš Foltýnek

Výroková logika. Teoretická informatika Tomáš Foltýnek Výroková logika Teoretická informatika Tomáš Foltýnek foltynek@pef.mendelu.cz Teoretická informatika strana 2 Opakování z minulé přednášky Co je to formalismus a co je jeho cílem? Formulujte Russelův paradox

Více

Kapitola 1. Signály a systémy. 1.1 Klasifikace signálů

Kapitola 1. Signály a systémy. 1.1 Klasifikace signálů Kapitola 1 Signály a systémy 1.1 Klasifikace signálů Signál představuje fyzikální vyjádření informace, obvykle ve formě okamžitých hodnot určité fyzikální veličiny, která je funkcí jedné nebo více nezávisle

Více

Výroková a predikátová logika - II

Výroková a predikátová logika - II Výroková a predikátová logika - II Petr Gregor KTIML MFF UK ZS 2017/2018 Petr Gregor (KTIML MFF UK) Výroková a predikátová logika - II ZS 2017/2018 1 / 17 Předběžnosti Základní pojmy n-ární relace a funkce

Více

ASYNCHRONNÍ ČÍTAČE Použité zdroje:

ASYNCHRONNÍ ČÍTAČE Použité zdroje: ASYNCHRONNÍ ČÍTAČE Použité zdroje: Antošová, A., Davídek, V.: Číslicová technika, KOPP, České Budějovice 2007 http://www.edunet.souepl.cz www.sse-lipniknb.cz http://www.dmaster.wz.cz www.spszl.cz http://mikroelektro.utb.cz

Více

Výroková a predikátová logika - II

Výroková a predikátová logika - II Výroková a predikátová logika - II Petr Gregor KTIML MFF UK ZS 2013/2014 Petr Gregor (KTIML MFF UK) Výroková a predikátová logika - II ZS 2013/2014 1 / 20 Základní syntax Jazyk Výroková logika je logikou

Více

Disjunktivní a konjunktivní lní tvar formule. 2.přednáška

Disjunktivní a konjunktivní lní tvar formule. 2.přednáška Disjunktivní a konjunktivní normáln lní tvar formule 2.přednáška Disjunktivní normáln lní forma Definice Řekneme, že formule ( A ) je v disjunktivním normálním tvaru (formě), zkráceně v DNF, jestliže je

Více

6 Algebra blokových schémat

6 Algebra blokových schémat 6 Algebra blokových schémat Operátorovým přenosem jsme doposud popisovali chování jednotlivých dynamických členů. Nic nám však nebrání, abychom přenosem popsali dynamické vlastnosti složitějších obvodů,

Více

VY_32_INOVACE_CTE_2.MA_04_Aritmetické operace v binární soustavě Střední odborná škola a Střední odborné učiliště, Dubno Ing.

VY_32_INOVACE_CTE_2.MA_04_Aritmetické operace v binární soustavě Střední odborná škola a Střední odborné učiliště, Dubno Ing. Číslo projektu Číslo materiálu Náev škol Autor Tematická oblast Ročník CZ..7/.5./34.58 VY_32_INOVACE_CTE_2.MA_4_Aritmetické operace v binární soustavě Střední odborná škola a Střední odborné učiliště,

Více

Struktura a architektura počítačů (BI-SAP) 10

Struktura a architektura počítačů (BI-SAP) 10 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 10 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

SČÍTAČKA, LOGICKÉ OBVODY ÚVOD TEORIE

SČÍTAČKA, LOGICKÉ OBVODY ÚVOD TEORIE SČÍTAČKA, LOGICKÉ OBVODY ÚVOD Konzultanti: Peter Žilavý, Jindra Vypracovali: Petr Koupý, Martin Pokorný Datum: 12.7.2006 Naším úkolem bylo sestrojit pomocí logických obvodů (tzv. hradel) jednoduchou 4

Více

Otázka 10 - Y36SAP. Zadání. Logické obvody. Slovníček pojmů. Základní logické členy (hradla)

Otázka 10 - Y36SAP. Zadání. Logické obvody. Slovníček pojmů. Základní logické členy (hradla) Otázka 10 - Y36SAP Zadání Logické obvody. Logické funkce, formy jejich popisu. Kombinační obvody a jejich návrh. Sekvenční systém jako konečný automat. Synchronní a asynchronní sekvenční obvody a jejich

Více

mové techniky budov Osnova Základy logického Druhy signálů

mové techniky budov Osnova Základy logického Druhy signálů Základy Systémov mové techniky budov Základy logického řízení Ing. Jan Vaňuš N 716 tel.: 59 699 1509 email: jan.vanus vanus@vsb.czvsb.cz http://sweb sweb.cz/jan.vanus Druhy signálů, Osnova, základní dělení

Více

Struktura a architektura počítačů (BI-SAP) 4

Struktura a architektura počítačů (BI-SAP) 4 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Sekvenční logické obvody

Sekvenční logické obvody Název a adresa školy: Střední škola průmyslová a umělecká, Opava, příspěvková organizace, Praskova 399/8, Opava, 746 01 Název operačního programu: OP Vzdělávání pro konkurenceschopnost, oblast podpory

Více

Základy logiky a teorie množin

Základy logiky a teorie množin Pracovní text k přednášce Logika a teorie množin (I/2007) 1 1 Struktura přednášky Matematická logika 2 Výroková logika Základy logiky a teorie množin Petr Pajas pajas@matfyz.cz Predikátová logika 1. řádu

Více

1 z 16 11.5.2009 11:33 Test: "CIT_04_SLO_30z50" Otázka č. 1 U Mooreova automatu závisí okamžitý výstup Odpověď A: na okamžitém stavu pamětí Odpověď B: na minulém stavu pamětí Odpověď C: na okamžitém stavu

Více

Automatizace je proces při němž je řídicí funkce člověka nahrazována činností

Automatizace je proces při němž je řídicí funkce člověka nahrazována činností Automatizace je proces při němž je řídicí funkce člověka nahrazována činností různých přístrojů a zařízení. (Mechanizace, Automatizace, Komplexní automatizace) Kybernetika je Věda, která zkoumá obecné

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní pojmy digitální techniky Abstrakce v digitální technice: signály se pokládají za skokově proměnné, v nejjednodušším případě dvě možné hodnoty logická

Více

Základní pojmy. Program: Algoritmus zapsaný v programovacím jazyce, který řeší nějaký konkrétní úkol. Jedná se o posloupnost instrukcí.

Základní pojmy. Program: Algoritmus zapsaný v programovacím jazyce, který řeší nějaký konkrétní úkol. Jedná se o posloupnost instrukcí. Základní pojmy IT, číselné soustavy, logické funkce Základní pojmy Počítač: Stroj na zpracování informací Informace: 1. data, která se strojově zpracovávají 2. vše co nám nebo něčemu podává (popř. předává)

Více

Analogově-číslicové převodníky ( A/D )

Analogově-číslicové převodníky ( A/D ) Analogově-číslicové převodníky ( A/D ) Převodníky analogového signálu v číslicový (zkráceně převodník N/ Č nebo A/D jsou povětšině založeny buď na principu transformace napětí na jinou fyzikální veličinu

Více

MODERNIZACE VÝUKY PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ

MODERNIZACE VÝUKY PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ Projekt: MODERNIZCE VÝUK PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ Úloha: Měření kombinačních logických funkcí kombinační logický obvod XOR neboli EXLUSIV OR Obor: Elektrikář slaboproud Ročník: 3. Zpracoval: Ing. Jiří

Více

BDIO - Digitální obvody

BDIO - Digitální obvody BIO - igitální obvody Ústav Úloha č. 6 Ústav mikroelektroniky ekvenční logika klopné obvody,, JK, T, posuvný registr tudent Cíle ozdíl mezi kombinačními a sekvenčními logickými obvody. Objasnit principy

Více

Matematická logika. Miroslav Kolařík

Matematická logika. Miroslav Kolařík Matematická logika přednáška třetí Miroslav Kolařík Zpracováno dle textu R. Bělohlávka: Matematická logika poznámky k přednáškám, 2004. a dle učebního textu R. Bělohlávka a V. Vychodila: Diskrétní matematika

Více

LOGICKÉ OBVODY J I Ř Í K A L O U S E K

LOGICKÉ OBVODY J I Ř Í K A L O U S E K LOGICKÉ OBVODY J I Ř Í K A L O U S E K Ostrava 2006 Obsah předmětu 1. ČÍSELNÉ SOUSTAVY... 2 1.1. Číselné soustavy - úvod... 2 1.2. Rozdělení číselných soustav... 2 1.3. Polyadcké číselné soustavy... 2

Více

Úplný systém m logických spojek. 3.přednáška

Úplný systém m logických spojek. 3.přednáška Úplný sstém m logických spojek 3.přednáška Definice Úplný sstém m logických spojek Řekneme, že množina logických spojek S tvoří úplný sstém logických spojek, jestliže pro každou formuli A eistuje formule

Více

I N V E S T I C E D O R O Z V O J E V Z D Ě L Á V Á N Í. výstup

I N V E S T I C E D O R O Z V O J E V Z D Ě L Á V Á N Í. výstup ELEKTONIKA I N V E S T I C E D O O Z V O J E V Z D Ě L Á V Á N Í 1. Usměrňování a vyhlazování střídavého a. jednocestné usměrnění Do obvodu střídavého proudu sériově připojíme diodu. Prochází jí proud

Více

Velmi zjednodušený úvod

Velmi zjednodušený úvod Velmi zjednodušený úvod Výroková logika: A, B, C - výroky. Booleova algebra Výroky nabývají hodnot Pravdivý a Nepravdivý. C = A B A B Booleova algebra: a, b, c - logické (Booleovské) proměnné. Logické

Více

Základy logického řízení

Základy logického řízení Základy logického řízení Určeno pro studenty bakalářských studijních programů na FBI Obsah 1. Úvod 2. Kontaktní logické řízení 3. Bezkontaktní logické řízení 11/2007 Doc.Ing. Václav Vrána, CSc. 1 1. Úvod

Více

5. Sekvenční logické obvody

5. Sekvenční logické obvody 5. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody - příklad asynchronního sekvenčního obvodu 3.

Více

Aritmetické operace a obvody pro jejich realizaci

Aritmetické operace a obvody pro jejich realizaci Kapitola 4 Aritmetické operace a obvody pro jejich realizaci 4.1 Polyadické číselné soustavy a jejich vlastnosti Polyadické soustavy jsou určeny přirozeným číslem z, kterému se říká základ nebo báze dané

Více

Maticí typu (m, n), kde m, n jsou přirozená čísla, se rozumí soubor mn veličin a jk zapsaných do m řádků a n sloupců tvaru:

Maticí typu (m, n), kde m, n jsou přirozená čísla, se rozumí soubor mn veličin a jk zapsaných do m řádků a n sloupců tvaru: 3 Maticový počet 3.1 Zavedení pojmu matice Maticí typu (m, n, kde m, n jsou přirozená čísla, se rozumí soubor mn veličin a jk zapsaných do m řádků a n sloupců tvaru: a 11 a 12... a 1k... a 1n a 21 a 22...

Více

CVIČENÍ 4 Doc.Ing.Kateřina Hyniová, CSc. Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze 4.

CVIČENÍ 4 Doc.Ing.Kateřina Hyniová, CSc. Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze 4. CVIČENÍ POZNÁMKY. CVIČENÍ. Vazby mezi systémy. Bloková schémata.vazby mezi systémy a) paralelní vazba b) sériová vazba c) zpětná (antiparalelní) vazba. Vnější popis složitých systémů a) metoda postupného

Více

Sekvenční logické obvody

Sekvenční logické obvody Sekvenční logické obvody Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou Sekvenční obvody - paměťové členy, klopné obvody flip-flop Asynchronní klopné obvody

Více

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky...

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky... Konečný automat. Syntéza kombinačních a sekvenčních logických obvodů. Sekvenční obvody asynchronní, synchronní a pulzní. Logické řízení technologických procesů, zápis algoritmů a formulace cílů řízení.

Více

Zvyšování kvality výuky technických oborů

Zvyšování kvality výuky technických oborů Zvyšování kvality výuky technických oborů Klíčová aktivita V.2 Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol Téma V.2.1 Logické obvody Kapitola 14 Logická funkce

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA STROJNÍHO INŽENÝRSTVÍ ÚSTAV AUTOMATIZACE A INFORMATIKY FACULTY OF MECHANICAL ENGINEERING INSTITUTE OF AUTOMATION AND COMPUTER SCIENCE

Více

Logické systémy a jejich návrh

Logické systémy a jejich návrh Kapitola 2 Logické systémy a jejich návrh 2.1 Logické funkce a jejich návrh Vstupní/výstupní písmeno - každá kombinace hodnot všech vstupních/výstupních proměnných. Na vstup se tedy může přivést jedno

Více

Unární je také spojka negace. pro je operace binární - příkladem může být funkce se signaturou. Binární je velká většina logických spojek

Unární je také spojka negace. pro je operace binární - příkladem může být funkce se signaturou. Binární je velká většina logických spojek Otázka 06 - Y01MLO Zadání Predikátová logika, formule predikátové logiky, sentence, interpretace jazyka predikátové logiky, splnitelné sentence, tautologie, kontradikce, tautologicky ekvivalentní formule.

Více

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač Y36SAP 27 Y36SAP-4 Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač 27-Kubátová Y36SAP-Logické obvody typické Často používané funkce Majorita:

Více

HAZARDY V LOGICKÝCH SYSTÉMECH

HAZARDY V LOGICKÝCH SYSTÉMECH HAZARDY V LOGICKÝCH SYSTÉMECH 1. FUNKČNÍ HAZARD : Při změně vstupního stavu vstupních proměnných, kdy se bude měnit více jak jedna proměnná - v reálné praxi však současná změna nenastává a ke změnám hodnot

Více

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení. N Měřicí a řídicí technika 2012/2013. Logické proměnné

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení. N Měřicí a řídicí technika 2012/2013. Logické proměnné N4444 Měřicí a řídicí technika 22/23 LOGICKÉ ŘÍZENÍ matematický základ logického řízení kombinační logické řízení sekvenční logické řízení programovatelné logické automat Matematický základ logického řízení

Více

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ MEII KOMBINAČNÍ LOGICKÉ OBVODY

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ MEII KOMBINAČNÍ LOGICKÉ OBVODY Projekt: ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ Téma: MEII - 5.4.1 KOMBINAČNÍ LOGICKÉ OBVODY Obor: Mechanik elektronik Ročník: 2. Zpracoval(a): Jiří Kolář Střední průmyslová škola Uherský Brod, 2010 Projekt je

Více

0.1 Úvod do lineární algebry

0.1 Úvod do lineární algebry Matematika KMI/PMATE 1 01 Úvod do lineární algebry 011 Lineární rovnice o 2 neznámých Definice 011 Lineární rovnice o dvou neznámých x, y je rovnice, která může být vyjádřena ve tvaru ax + by = c, kde

Více

ZÁKLADY PROGRAMOVÁNÍ. Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14

ZÁKLADY PROGRAMOVÁNÍ. Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14 ZÁKLADY PROGRAMOVÁNÍ Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14 Co je vhodné vědět, než si vybereme programovací jazyk a začneme programovat roboty. 1 / 14 0:40 1.3. Vliv hardware počítače na programování Vliv

Více

Kódy a kódování dat. Binární (dvojkové) kódy. Kód Aikenův

Kódy a kódování dat. Binární (dvojkové) kódy. Kód Aikenův Kódy a kódování dat Kódování je proces, při kterém se každému znaku nebo postupnosti znaků daného souboru znaků jednoznačně přiřadí znak nebo postupnost znaků z jiného souboru znaků. Kódování je tedy transformace

Více

7. Funkce jedné reálné proměnné, základní pojmy

7. Funkce jedné reálné proměnné, základní pojmy , základní pojmy POJEM FUNKCE JEDNÉ PROMĚNNÉ Reálná funkce f jedné reálné proměnné je funkce (zobrazení) f: X Y, kde X, Y R. Jde o zvláštní případ obecného pojmu funkce definovaného v přednášce. Poznámka:

Více

3. Sekvenční logické obvody

3. Sekvenční logické obvody 3. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody příklad sekv.o. Příklad sledování polohy vozíku

Více

Projekt realizovaný na SPŠ Nové Město nad Metují. s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje

Projekt realizovaný na SPŠ Nové Město nad Metují. s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje Projekt realizovaný na SPŠ Nové Město nad Metují s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje Modul 03 Technické předměty Ing. Otakar Maixner 1 Blokové

Více

Kódováni dat. Kódy používané pro strojové operace

Kódováni dat. Kódy používané pro strojové operace Kódováni dat Před zpracováním dat například v počítači je třeba znaky převést do tvaru, kterému počítač rozumí, tj. přiřadit jim určité kombinace bitů. Tomuto převodu se říká kódování. Kód je předpis pro

Více