Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky. Úloha č. 3. Student

Podobné dokumenty
Návrh základních kombinačních obvodů: dekodér, enkodér, multiplexor, demultiplexor

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student

H - Řízení technologického procesu logickými obvody

Evropská unie Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Technická kybernetika. Obsah

Technická kybernetika. Obsah. Realizace kombinačních logických obvodů.

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu

Výpočet vnitřních sil lomeného nosníku

ŘEŠENÍ OBVODŮ S TRANSIMPEDANČNÍMI OPERAČNÍMI ZESILOVAČI POMOCÍ GRAFŮ SIGNÁLOVÝCH TOKŮ

ověření Písemné ověření a ústní zdůvodnění

Technická dokumentace Ing. Lukáš Procházka

1. Seznamte se s výukovou platformou FITkit (

Pohyblivé zatížení. Pohyblivé zatížení. Příčinkové čáry na prostém nosníku, konzole a spojitém nosníku s vloženými klouby

Přijímací řízení akademický rok 2011/12 Kompletní znění testových otázek matematický přehled

Konstrukce na základě výpočtu II

VY_32_INOVACE_CTE-2.MA-15_Sčítačky (poloviční; úplná) Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl

Manuál kouče.

29. PL Čtyřúhelníky, mnohoúhelníky Čtyřúhelník = rovinný útvar, je tvořen čtyřmi úsečkami, které se protínají ve čtyřech bodech (vrcholech).

Půjdu do kina Bude pršet Zajímavý film. Jedině poslední řádek tabulky vyhovuje splnění podmínky úvodního tvrzení.


Podobnosti trojúhelníků, goniometrické funkce

Programovatelná logika

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Mocnina částečně uspořádané množiny


Instalační návod. Záložní ohřívač nízkoteplotního monobloku Daikin Altherma EKMBUHCA3V3 EKMBUHCA9W1. Instalační návod. čeština

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Geometrie. Mgr. Jarmila Zelená. Gymnázium, SOŠ a VOŠ Ledeč nad Sázavou

Logické obvody - kombinační Booleova algebra, formy popisu Příklady návrhu


Automaty a gramatiky(bi-aag)

PK Design. MB-S2-150-PQ208 v1.4. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 ( )

Statistika a spolehlivost v lékařství Spolehlivost soustav

Konstrukce na základě výpočtu III

Obrázková matematika D. Šafránek Fakulta jaderná a fyzikálně inženýrská, Břehová 7, Praha 1

Struktura a architektura počítačů

1.3.5 Řešení slovních úloh pomocí Vennových diagramů II

Stránka: Tabulky: Náplň a úkoly pro 5. cvičení z předměty ZIT. Hardware

UC485S. PŘEVODNÍK LINKY RS232 na RS485 nebo RS422 S GALVANICKÝM ODDĚLENÍM. Převodník UC485S RS232 RS485 RS422 K1. přepínače +8-12V GND GND TXD RXD DIR

Koš Znění otázky Odpověď a) Odpověď b) Odpověď c) Odpověď d) Správná odpověď 1. 1 Které číslo doplníte místo otazníku? ?

Přirozená exponenciální funkce, přirozený logaritmus


26 l Základní informace. 27 l RDLTS. 28 l DRUE. 29 l DRUF. 30 l DRUL. 31 l RDST

Návod k obsluze výukové desky CPLD

Pokyny k připojení. Podporované operační systémy. Instalace tiskárny pomocí disku CD Software and Documentation. Pokyny k připojení

Je regulární? Pokud ne, na regulární ji upravte. V původní a nové gramatice odvod te řetěz 1111.

Jmenovatele upravíme na součin a ze součinu určíme podmínky, pro které mají dané výrazy smysl.

Práce se seznamy. Operace na datových strukturách. Práce se seznamy del a insert. Práce se seznamy member. Seznam: rekurzivní datová struktura

Zjednodušená styčníková metoda

Rovinné nosníkové soustavy II h=3

Logické obvody. Logický obvod. Rozdělení logických obvodů - Kombinační logické obvody. - Sekvenční logické obvody

2.8 Kodéry a Rekodéry

Přijímací řízení akademický rok 2015/2016 Bc. studium Kompletní znění testových otázek ekonomie

Baterie testů byla sestavena pro použití v rámci projektu CZ /0.0/0.0/15_007/ Škola pro všechny: Inkluze jako cesta k efektivnímu

Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec.

Word praktická cvičení

Zlomky závěrečné opakování

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Instalační návod. Daikin Altherma - Adaptér LAN BRP069A61 BRP069A62. Instalační návod Daikin Altherma - Adaptér LAN. čeština

Výfučtení: Goniometrické funkce

Instalační návod. Záložní ohřívač pro venkovní jednotky s integrovanými hydraulickými součástmi EKMBUHCA3V3 EKMBUHCA9W1.

4.4.3 Kosinová věta. Předpoklady:

3 Algebraické výrazy. 3.1 Mnohočleny Mnohočleny jsou zvláštním případem výrazů. Mnohočlen (polynom) proměnné je výraz tvaru

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

18ST - Statika. 15. dubna Dan et al. (18ST) Vnitřní síly na lomených nosnících 15. dubna / 16

k 1 P R 2 A t = 0 c A = c A,0 = A,0 c t Poměr rychlostí vzniku produktů P a R je konstantní a je roven poměru příslušných rychlostních konstant.

Rovinné nosníkové soustavy Gerberův nosník

Rodina ohřívačů LHS. Ohřívače vzduchu. Regulátory. Vlastnosti CLASSIC PREMIUM SYSTEM. Snadná instalace (montáž shora)

ČESKY. Návod k elektroinstalaci 2-žilového kabelu mezi ovládací jednotkou a motorem. m mm x 0, x 1,50

= = Řešení: Pro příspěvek k magnetické indukci v bodě A platí podle Biot-Savartova zákona. d 1

ÚSPORNÝ POPIS OBVODŮ S TRANSIMPEDANČNÍMI OPERAČNÍMI ZESILOVAČI MODIFIKOVANOU METODOU UZLOVÝCH NAPĚTÍ

150 mm 150 mm. 150 mm

PRINCIP ZÁPISU AKORDU POMOCÍ AKORDOVÝCH ZNAČEK


Přijímací řízení akademický rok 2014/2015 Bc. studium Kompletní znění testových otázek matematika

Pohyblivé zatížení. Pohyblivé zatížení. Píinkové áry na prostém nosníku, konzole a spojitém nosníku s vloženými klouby

Box diagram výroby Hranice produkčních možností

4.2.1 Goniometrické funkce ostrého úhlu

Otázka č. 4 (PRA): Za subjekty trestního řízení jsou považováni také:

1 Logické řízení (prof. Ing. Jiří Tůma, CSc.)

1.3.6 Řešení slovních úloh pomocí Vennových diagramů I

Instalační návod. Daikin Altherma - Adaptér LAN BRP069A61 BRP069A62. Instalační návod Daikin Altherma - Adaptér LAN. čeština

LIMES Opěrná stěna V: Soubor: TIHOVASKONZOLAMI Název projektu: Projektname. Systém A

Zvyšování kvality výuky technických oborů

Příloha č 2. Uukázka didaktického testu a doplňujících otázek pro studenty 3. ročníku vybraného. z výukového CD- ROM.

Pokud se obrazovka instalace neobjeví, klepněte na Start Run (Spustit) a poté napište D:\setup.exe, kde písmeno D označuje vaši jednotku CD či DVD.

Instalační návod. Jednotka příslušenství pro venkovní jednotky s integrovanými hydraulickými součástmi EK2CB07CAV3.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.


Instalační příručka. ROTEX - adaptér LAN RBRP069A61. Instalační příručka ROTEX - adaptér LAN. čeština

Začínáme. a b. Stručný návod k obsluze DCP-J925DW VAROVÁNÍ UPOZORNĚNÍ VAROVÁNÍ. Poznámka

Instalační návod. Jednotka příslušenství nízkoteplotního monobloku Daikin Altherma EK2CB07CAV3. Instalační návod. čeština


PRESTO. USB programátor. Uživatelská příručka

4.4.1 Sinová věta. Předpoklady: Trigonometrie: řešení úloh o trojúhelnících.

Příchytky trubek série A (lehká konstrukční řada) jednotlivé části KOVAZ

6 Řešení soustav lineárních rovnic rozšiřující opakování

VÝPOČET PŘETVOŘENÍ NA STATICKY URIČTÝCH PŘÍMÝCH NOSNÍCÍCH

Příklady popisu základních obvodů ve VHDL

Cíle. Teoretický úvod

Transkript:

Přmět Ústv Úloh č. 3 BDIO - Diitální ovoy Ústv mikrolktroniky Návrh koéru BCD kóu n 7-smntový isplj, kominční loik Stunt Cíl Prá s 7-smntovým ispljm. Návrh kominční loiky koéru pro 7-smntový isplj. Minimliz loiké unk. Popis koéru pro 7-smntový isplj v jzy VHDL v návrhovém prostří Xilinx ISE WPk. Implmnt návrhu o ílového ovou FPGA Sprtn3-2k n vývojové s Sprtn-3 Strtr Bor. Tortiký úvo Pro zorzní výstupníh t s využívjí zorzoví jnotky (isplj). Njčstěji jsou to LED, 7-smntové isplj, LCD lší. Kžý z těhto zorzovčů vyžuj iniviuální přístup při návrhu iitální řííí loiky. Této iitální řííí loi s čsto říká koér no zorzoví řič. Dkoér j iitální ovo složn pouz z záklníh loikýh hrl NAND, NOR t. j to ty kominční ovo. Pro návrh koéru j potř přsně znát spiiki né zorzoví jnotky pol ní nvrhnout kominční řííí loiku. N trhu j možné njít koéry v introvné pooě o několik výroů. N orázku 1 j součástk 7-smntového LED isplj. Or. 1: 7-smntový LED isplj

N orázku 2 j zorzno symoliké znční 7-smntového isplj ukázk zorzní čísli ž 9. Písmn,,,,,, přstvují jnotlivé smnty p přstvuj tčku. Tčkou p s v rámi této lortorní úlohy num zývt! Bu s nvrhovt pouz kominční loik pro smnty,,,,,,. p Or. 2: Symoliké znční 7-smntového isplj ukázk zorzní čísli ž 9 Existují v typy zpojní 7-smntového isplj: S spolčnou noou no všh smntů j připojn n spolčný uzl. N tnto uzl s většinou připojuj npájí npětí (lo. 1). S spolčnou ktoou kto všh smntů j připojn n spolčný uzl. N tnto uzl s většinou připojuj zm GND (lo. ). N orázku 3 j zpojní s spolčnou noou ukázk rozsvíní smntu. spolčná no GND Or. 3: Zpojní s spolčnou noou ukázk rozsvíní smntu. N orázku 4 j zpojní s spolčnou ktoou ukázk rozsvíní smntu.

spolčná kto GND Or. 4: Zpojní s spolčnou ktoou ukázk rozsvíní smntu. Blokové shém propojní 7-smntového isplj s spolčnou noou koéru j n orázku 5. in1 in2 in3 in4 kom. řííí loik (kór) Or. 5: Blokové shém 7-smntového isplj s spolčnou noou koéru in1 in2 in3 in4 kom. řííí loik (kór) 1 Or. 6: Zorzní čísli n 7-smntovém isplji s spolčnou noou Poku um htít zorzit číslii n isplji s spolčnou noou, pk musí ýt n výstupu koéru komin 1 (or. 6). Otázkou zůstává, jká komin

j n vstupu koéru. V posttě můž ýt liovolná, to zálží n typu zní pro návrh koéru. Čísli můž ýt zorzn jk pro vstupní komini, tk i 1 no 1. J skutčně ůlžité si uvěomit, o o ného koéru očkávám náslně pk nvrhnm příslušnou kominční loiku. Vyprování lortorní úlohy Cílm této úlohy j nvrhnout vlstní koér BCD kóu n 7-smntový isplj. Úkol č. 1 (,2 ou) Jk j vyjářn BCD kó? ) honot ž 1 v kikém tvru ) honot ž 15 v kikém tvru ) honot ž 11 v inárním tvru ) honot ž F v hximálním tvru ) honot 1 ž 9 v kikém tvru Úkol č. 2 (,4 ou) Zjistět pol okumnt k vývojové s o jký typ 7-smntového isplj s jná: ) s spolčnou ktoou ) s spolčnou noou Vpišt o závork příslušné piny, ktré jsou připojné z ovou FPGA k 7- smntovému isplji. AN3 AN2 AN1 AN

Přpišt příslušné piny ovou FPGA připojné k 7-smntovému isplji o přhlné tulky. Smnt FPGA pin Ano AN3 AN2 AN1 AN FPGA pin Oznčt křížkm, ktré piny ovou FPGA XC3S2 FT256 jsou využity pro řízní 7-smntového isplj. Úkol č. 3 (,8 ou) Doplňt to tulky loiké úrovně, tk y n 7-smntovém isplji svítil čísli 7. Zpojní isplj j s spolčnou noou. Smnt Lo. úrovň

Nvrhnět lou prvivostní tulku pro koér BCD kóu n 7-smntový isplj. vstupy výstupy čísli x3 x2 x1 x 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 ž 1 1 1 1 ni nu svítit Nvrhnět minimlizovnou loikou unki pro smnt. x2 x3 x x1

Úkol č. 4 (,8 ou) Vytvořt nový projkt s názvm uloh3. Výslnou minimlizovnou unki nkrslt v shmtikém prostří (názv or) v návrhovém prostří Xilinx ISE WPk, vytvořt koniurční UCF souor implmntujt výslný návrh o pměti PROM. Výslnou unki otstujt n vývojové s. Úkol č. 5 (,8 ou) Doplňt prázná míst v VHDL popisu. Doplňt VHDL popis n PC tk, y svítil 7-smntový isplj úplně vprvo. Vložt VHDL popis o projktu uloh3. Implmntujt výslný návrh o pměti PROM. Výslnou unki ověřt pomoí vývojové sky. ------------------------------------------------------------------------- ntity or is -- nzv ntity port ( x : in st_loi_vtor (3 ownto _); -- vstupy n : out st_loi_vtor (3 ownto ); -- vystupy - noy ls : st_loi_vtor (_ ownto )); -- vystupy - ktoy n or; rhittur Bhviorl o or is -- nzv rhitktury in with x slt -- "" ls <= " " whn " ", -- isli "11111" whn "1", -- isli 1 "11" whn " ", -- isli 2 "11" whn "11", -- isli 3 " " whn "1", -- isli 4 "11" whn " ", -- isli 5 " " whn " ", -- isli 6 " " whn " ", -- isli 7 " " whn " ", -- isli 8 " " whn " ", -- isli 9 " " whn -- ni nu svitit n <= " "; -- u svitit jn 7-smntovy isplj upln vprvo n Bhviorl; ------------------------------------------------------------------------- Bonusový úkol č. 6 (,6 ou) Výslný VHDL popis uprvt tk, y nvržný koér nzorzovl pouz čísli ž 9, l rovněž hximální znky. Požovné hximální znky: Jk yst pojmnovli tnto typ koéru? Opověď: