PODPORA ELEKTRONICKÝCH FOREM VÝUKY

Rozměr: px
Začít zobrazení ze stránky:

Download "PODPORA ELEKTRONICKÝCH FOREM VÝUKY"

Transkript

1 INVE STICE DO ROZV O JE V ZDĚL ÁV Á NÍ PODPORA ELEKTRONICKÝCH FOREM VÝUKY CZ.1.07/1.1.06/ Tento projekt je financován z prostředků ESF a státního rozpočtu ČR. SOŠ informatiky a spojů a SOU, Jaselská 826, Kolín 1

2 INVE STICE DO ROZV O JE V ZDĚL ÁV Á NÍ ČÍSLICOVÁ TECHNIKA ČÍSLICOVÁ TECHNIKA 1 Autorem tohoto výukového materiálu je Ing. Miroslav Veverka SOŠ informatiky a spojů a SOU, Jaselská 826, Kolín 2

3 OBSAH Analogový a číslicový signál Číselné soustavy Kódy Booleova algebra Definice logické funkce Minimalizace logické funkce, Karnaughova mapa Kombinační logické obvody Literatura 3

4 Analogový a číslicový signál

5 Analogový signál mění se plynule, (spojitě), nabývá libovolné hodnoty uvnitř rozsahu tvořeného krajními hodnotami analogový signál zpracovává analogová technika (elektronika) U t 5

6 Analogový signál analogová technika má určité meze, především, požadujeme-li velkou přesnost zpracování chceme-li zvýšit přesnost zpracování, velmi rychle roste složitost zařízení a tím i jeho cena většina veličin kolem nás má podobu analogového signálu člověk má pro příjem analogového signálu uzpůsobené smysly: zvuk uši jas oči teplota, tlak povrch těla 6

7 Číslicový signál nabývá jen dvou hodnot jeho zpracováním se zabývá číslicová technika výhodou oproti analogové technice je možnost zvyšování přesnosti bez výrazného zvyšování složitosti a ceny zařízení U t 7

8 Číselné soustavy

9 Číselné soustavy člověk běžně používá desítkovou soustavu má 10 prstů na obou rukou používá také šedesátkovou soustavu hodiny, úhly číslicová technika používá jen dva stavy veličiny pracuje tedy ve dvojkové soustavě dvojková soustava je technicky nejjednodušší rozlišit dva stavy je snazší než rozlišit 10 stavů libovolné číslo lze vyjádřit v jakékoliv číselné soustavě 9

10 Číselné soustavy číselné soustavy jsou poziční záleží na pozici (pořadí) číslic v zápisu čísla příklad: 346, 634 používají stejné číslice, ale ta jsou v jiném pořadí, vyjadřují tedy různá čísla 10

11 Obecná definice číselné soustavy základem je libovolné přirozené číslo Z 2 číselná soustava o základu Z má Z číslic:0,1,,z-1 libovolné přirozené číslo N lze vyjádřit vzorcem: N=c n-1.z n-1 +c n-2.z n-2 + +c 1.Z 1 +c 0.Z 0 kde c jsou cifry (číslice) čísla Z je základ číselné soustavy n je řád čísla příklad: 2586=

12 Desítková soustava používá 10 znaků pro zobrazení deseti číslic: 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 je pro nás nejpřirozenější soustava = = = =

13 Dvojková soustava používá dva znaky pro vyjádření číslic 0 a = = = = = = =

14 Hexadecimální soustava používá 16 znaků pro vyjádření číslic, pro vyšší hodnoty používá prvních 6 písmen abecedy: 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F A=10, B=11, C=12, D=13, E=14, F=15 používá se nejčastěji při programování 3A6F 16 = = = = =

15 Převody mezi soustavami Převod čísla z desítkové soustavy do libovolné jiné soustavy: číslo vydělíme základem druhé soustavy zapíšeme zbytek po dělení postup opakujeme, dokud zbylé číslo zůstává větší než 0 zbytky dostaneme v opačném pořadí, zapisujeme je tedy odzadu 15

16 Převod s desítkové do dvojkové soustavy desítkové číslo postupně dělíme 2 a sepisujeme zbytky (mohou mít hodnoty 0 nebo 1) 87 = výsledek čteme 10 1 odspodu nahoru

17 Převod z desítkové soust. do šestnáctkové desítkové číslo postupně dělíme 16 a sepisujeme zbytky (mohou mít hodnoty od 0 do 15) zbytky větší než 9 musíme "převést" na písmena: 10=A, 11=B, 12=C, 13=D, 14=E, 15=F = EA výsledek čteme A odspodu nahoru 0 14 E 17

18 Převod z dvojkové soustavy do desítkové základní postup jsme použili zde jiná možnost připravíme si tabulku mocnin čísla 2 řády si nadepíšeme nad cifry čísla (od pravého konce počínaje 0) za každou 1 v čísle zapíšeme hodnotu z tabulky a všechny hodnoty pak sečteme příklad: N N = =165 18

19 Převod ze šestnáctkové soust. do desítkové základní postup jsme použili zde jiná možnost připravíme si tabulku mocnin čísla 16 řády si nadepíšeme nad cifry čísla (od konce počínaje 0) každou cifru v čísle vynásobíme hodnotou z tabulky a všechny hodnoty sečteme příklad N N A 9 D =1* *256+9*16+13= = =

20 Aritmetické operace ve dvojkové soustavě provádějí se stejným postupem jako ve soustavě desítkové všechny aritmetické operace se dají převézt na jedinou operaci na sčítání první mikroprocesory uměly jenom sčítat, některé i odčítat, pro násobení a dělení se musel sestavit program současné procesory umějí násobit i dělit jsou výrazně rychlejší 20

21 Sčítání pro sčítání platí vztahy: 0+0=0 0+1=1 1+0=1 1+1=0 přenos 1do vyššího řádu příklad: 11 přenosy do vyšších řádů

22 Sčítání většího počtu sčítanců součet sudého počtu jedniček je 0 součet lichého počtu jedniček je 1 za každou celou dvojici jedniček připíšeme jednu 1 jako přenos do vyššího řádu příklad: 11 přenosy do vyšších řádů

23 Odčítání pro odčítání platí vztahy: příklad: =0 0 1=1 půjčka 1 z vyššího řádu 1 0=1 1 1=0 23

24 Odčítání pomocí dvojkového doplňku odčítání převedeme na sčítání, záporné číslo vytvoříme pomocí dvojkového doplňku: doplníme odečítané číslo na stejný počet řádů zleva nulami provedeme inverzi záměnu jedniček a nul přičteme 1 příklad: zleva doplněno nulami inverze = jednotkový doplněk + 1 přičtena 1 = dvojkový doplněk záporné číslo 24

25 Odčítání pomocí dvojkového doplňku vzniklý přenos ignorujeme jedničkový doplněk jedničkového doplňku je původní číslo dvojkový doplněk dvojkového doplňku je původní číslo 25

26 Násobení pro násobení platí vztahy: 0 0=0 0 1=0 1 0=0 1 1=1 postup násobení je stejný jako u desítkové soustavy příklad

27 Dělení pro dělení platí vztahy: 0: 0 =chyba 0: 1 =0 1: 0 =chyba 1: 1 =1 postup dělení je stejný jako u desítkové soustavy :10111=

28 Dělení a násobení posunem pro desítkovou soustavu: posun doleva násobí 10 posun doprava dělí 10 pro dvojkovou soustavu: = = = = = = 6 posun doleva násobí 2 posun doprava dělí 2 28

29 Kódy

30 Kódy základní pojmy kód soubor znaků a pravidel k zaznamenávání, (přenosu, uložení) informací kódování převod informací z jednoho tvaru do jiného definováno matematicky např. převod desítkového čísla do dvojkové soustavy definováno přiřazovací tabulkou dekódování zpětný převod informací do původní podoby kodér zařízení pro kódování dekodér zařízení pro dekódování 30

31 Přirozený dvojkový kód již jsme jej probrali je nejpoužívanější není ochráněn proti chybám (není detekční) vhodný pro aritmetické operace každý vyšší řád je dvojnásobkem předchozího řádu př.: =

32 BCD kód 8421 BCD Binary Coded Decimal binárně kódované desítkové číslo znázorňuje každou desítkovou číslici (0 až 9) pomocí 4-bitového dvojkového kódu používá standardní váhy 8421 není definován pro čísla 10 až 15 velmi často používaný zobrazování času, čísla skladby apod. př.: = BCD 32

33 Převod z BCD do desítkové soustavy zprava si zápis hodnoty rozdělíme po čtveřicích převádíme zvlášť každou čtveřici na desítkovou číslici př.: BCD BCD = v jednom bajtu (8 bitů) mohou být uložena 2 čísla v BCD kódu, procesory obsahují instrukce pro práci s tímto kódem 33

34 Grayův kód základní vlastnost při přechodu k sousední hodnotě se mění jen jeden bit snadná kontrola případné chyby platí též u při přechodu z poslední hodnoty na první (kód je uzavřen do sebe) nazýván také jako symetrický či zrcadlový (reflexní) kód použití: odměřování polohy Karnaughova mapa 34

35 Sestavení Grayova kódu zapíšeme pod sebe dvě hodnoty binárního čísla 0 a 1, pod poslední hodnotou zakreslíme zrcadlící rovinu 0 1 zrcadlící rovina pod zrcadlící rovinu opíšeme hodnoty v obráceném pořadí (jakoby se odrážely v zrcadle) před hodnoty nad zrcadlící rovinou dopíšeme 0, před hodnoty pod zrcadlící rovinu dopíšeme zrcadlící rovina

36 Sestavení Grayova kódu vícebitový kód vznikne opakováním popsaného postupu čtyřbitový Grayův kód čtyřbitový binární kód 36

37 Kód 1 z 10 tvoří jej 10 bitů, jen jeden z nich má hodnotu 1, ostatní jsou nulové obsahuje velkou nadbytečnost (redundanci), k vyjádření hodnoty je třeba více bitů nehodí se např. pro aritmetické operace jde o kód detekční umí detekovat chybu používá se v číslicových zařízeni pro výběr jednoho zařízení z daného množství př.: 4 10 = z10 37

38 Kód 2 z 5 tvoří jej 5 bitů, vždy jen 2 bity mají hodnotu 1, ostatní jsou nulové používá váhy nehodí se např. pro aritmetické operace jde o kód detekční umí detekovat chybu připřenosu používá se v telefonních ústřednách př.: 4 10 = z 5 38

39 39 Přehled kódů z 10 2 z Grayův BCD 8421 binární 8421 číslo

40 ASCII kód American Standard Code for Information Interchange tabulka znaků (písmen, číslic, dalších znaků) každý znak má své pořadové číslo (např. původně 7 bitový, nyní 8 bitový (obsahuje národní znaky písmena s čárkami, háčky, přehláskami) používá se na naprosté většině zařízení počítače, mobily, PDA, přístroje audiovizuální techniky kódy s hodnotami 0 až 31 se nezobrazují jako konkrétní znaky, jsou určeny jako řídící kódy pro různé periferie 40

41 41 ASCII kód del 127 o 111 _ 95 O 79? 63 / 47 ~ 126 n 110 ^ 94 N 78 > } 125 m 109 ] 93 M 77 = l 108 \ 92 L 76 < 60, 44 { 123 k 107 [ 91 K 75 ; z 122 j 106 Z 90 J 74 : 58 * 42 y 121 i 105 Y 89 I ) 41 x 120 h 104 X 88 H ( 40 w 119 g 103 W 87 G ' 39 v 118 f 102 V 86 F & 38 u 117 e 101 U 85 E % 37 t 116 d 100 T 84 D $ 36 s 115 c 99 S 83 C # 35 r 114 b 98 R 82 B '' 34 q 113 a 97 Q 81 A ! 33 p 112 ` 96 P mezera 32 znak kód znak kód znak kód znak kód znak kód znak kód

42 Ochrana kódu proti chybám při přenosu kódu (vzduchem, opticky, kabelem) může nastat chyba přenosu jeden nebo více bitů se vlivem rušení změní na opačnou hodnotu příklad: 1011 přenosem nastala chyba: 0011 metody ochrany proti chybám: kontrola paritou zjistíchybu výběr vhodného kódu zjistí chybu použití samoopravného kódu opraví chybu 42

43 Kontrola paritou rozpozná chybu na jednom bitu, těch bývá asi 50-60% z vyskytujících se chyb rozpozná i chyby na lichých počtech bitů, nerozpozná chyby na sudých počtech bitů nejčastěji používaná metoda, kde nejsou velké nároky na bezpečnost do bitové kombinace se přidá dodatečný bit podle typu parity: sudá parita počet všech 1 i s paritním bitem je sudý lichá parita počet všech 1 i s paritním bitem je lichý 43

44 Kontrola paritou příklad: přenos znaku "M" v ASCII kódu bez parity: sudá parita: lichá parita: kontrola paritou je vhodná pro jakýkoliv kód pro velkou bezpečnost přenosu se používá vícenásobné parity přidání více paritních bitů dokáže chybu i opravit pro 8 informačních bitů je třeba 5 paritních bitů 44

45 Kontrola kódem P z N P je počet jedniček N počet všech bitů příklad: přenášíme jen čísla v kódu 2 z 5: 9 10 = z5 přenosem vznikla chyba z5 jsou zde tři 1 takový znak v tomto kódu neexistuje, rozpozná se chyba 45

46 Booleova algebra

47 Výroky George Boole ( ) byl zakladatel matematické logiky výrok oznamovací věta (sdělení), o němž lze rozhodnout, zda je pravdivá či nepravdivá Jsou výroky: Nejsou výroky: Prší Svítí slunce Kéž by zapršelo! Kolik je hodin? pravdivostní hodnoty: pravda nepravda značíme 1, H značíme 0, L 47

48 Logika pojmy výroky spojujeme do složitějších výrazů pomocí logických operací logická konstanta -její hodnota je stále stejná, má jen dvě možné hodnoty 0 nebo 1 logická proměnná její hodnota se může měnit, možné hodnoty 0 nebo 1 logické proměnné označujeme písmeny např.: A, B, C, X, Y, Z logická funkce logické operace s logickými konstantami a proměnnými, možné hodnoty 0 nebo 1 48

49 Booleova algebra Booleova algebra je matematický prostředek k popisu logických operací k vytvoření libovolné logické funkce používá pouze tři základní operace: logický součet logický součin negace 49

50 Logický součet Y=A+B OR, česky NEBO výstupní proměnná Y má hodnotu 1 tehdy, má-li alespoň jedna ze vstupních proměnných A nebo B hodnotu 1. A B A+B A B Y 50

51 Logický součin Y=A. B AND, česky A, I výstupní proměnná Y má hodnotu 1 jen tehdy, mají-li hodnotu 1 obě proměnné A i B. A B A B A B Y 51

52 Negace Y=A, čteme "A non" NON, NOT, česky NE výstupní proměnná Y má vůči vstupní proměnné A opačnou hodnotu, je její inverzní funkcí A A A Y 52

53 Více proměnných tři základní funkce (součet, součin, negace) lze rozšířit na libovolný počet vstupních proměnných příklad logického součtu a součinu pro 3 vstupní proměnné: A B C A+B A.B

54 Zákony Booleovy algebry A=A A + 0 = A A + 1 = 1 A + A = A A+A=1 zákon dvojí negace nula je v součtu neutrální jednička je v součtu agresivní A. 0 = 0 nula je v součinu agresivní A. 1 = A jednička je v součinu neutrální A. A = A A A=0 54

55 Zákony Booleovy algebry A+B=B+A komutativní zákony A.B=B.A A+B+C=(A+B)+C=A+(B+C) asociativní A.B.C=(A.B).C=A.(B.C) zákony distributivní zákony: A.(B+C)=A.B+A.C pro součin A+B.C=(A+B).(A+C) pro součet pozor - neexistuje v klasické algebře! 55

56 Zákony Booleovy algebry demorganovy zákony: A + B + C = A B C A B C = A + B + Shannonův teorém -zobecnění demorganových zákonů: ( A, B, C,, + ) = (A, B, C, +, ) nad každou proměnnou přibude negace + se změní na se změní na + C platí přednost log. součinu před log. součtem! 56

57 Definice logické funkce

58 Definice logické funkce logická funkce může být definovaná několika způsoby: pravdivostní tabulka algebraický výraz základní zápis logické funkce v podobě matematického popisu Karnaughova mapa, zároveň i nástroj ke zjednodušování log. funkce (probereme později) další způsoby (nebudeme se je učit): Svobodova mapa, Vennův diagram, 58

59 Pravdivostní tabulka pro všechny kombinace vstupních proměnných jsou dány hodnoty výstupní funkce (0 nebo 1) pro n proměnných je řádků tabulky: 2 n n=2: 2 2 =4 n=3: 2 3 =8 n=4: 2 4 =16 úplně zadaná funkce -známe její hodnotu (0 nebo 1) pro všechny možné kombinace vstupních hodnot neúplně zadaná funkce pro některé kombinace vstupních proměnných může mít funkce hodnotu 0 nebo 1 (tedy nezáleží na hodnotě), výslednou hodnotu značíme obvykle X 59

60 Pravdivostní tabulka Pravdivostní tabulka úplně zadané funkce A B C f Pravdivostní tabulka neúplně zadané funkce A B C f X X 60

61 Algebraický výraz výstupní funkce je vyjádřena pomocí logických operací (součet, součin, negace) se vstupními proměnnými algebraický výraz lze získat i z pravdivostní tabulky či Karnaughovy mapy algebraický výraz lze (po úpravě) převést do pravdivostní tabulky či Karnaughovy mapy příklady zápisu funkce: Y = (AB + C)(A + B)C Y = AB+ AB+ ABC 61

62 Karnaughova mapa Karnaughova mapa [karnafova] především je to nástroj ke zjednodušování logické funkce vhodná pro funkce s max. 5 vstupními proměnnými (pro více proměnných je nepřehledná) je tvořena počtem 2 n políček, kde n je počet vstupních proměnných příklad Karnaughovy mapy pro 3 proměnné A B C 62

63 Minimalizace logické funkce, Karnaughova mapa

64 Algebraická minimalizace před vlastní realizací pomocí logických obvodů výraz minimalizujeme aplikací zákonů Booleovy algebry důvod minimalizace: jednodušší schéma obvodu menší spotřeba levnější výroba větší spolehlivost algebraická minimalizace je náročná a zdlouhavá, v praxi obvykle minimalizujeme jinými metodami (např. Karnaughova mapa) 64

65 Příklad úpravy algebraického výrazu minimalizujme výraz: Y=(AB+C)(A+B) C Y=(AAB+ABB+AC+BC)C Y=AABC+ABBC+ACC+BCC Y=ABC+ABC+AC+BC Y=ABC+AC+BC Y=C(AB+A+B) Y = C (A(B + 1)) + B Y=C(A+B) 65

66 Karnoughova mapa - základy rychlý nástroj pro minimalizaci logické funkce každé políčko mapy představuje součin příslušných proměnných (přímých nebo negovaných - tzv. minterm) do příslušného políčka zapíšeme logickou hodnotu 1, obsahuje-li funkce příslušný součin proměnných do příslušného políčka zapíšeme logickou hodnotu 0, neobsahuje-li funkce příslušný součin proměnných je-li počet proměnných (n) sudé číslo, bude tabulka čtvercová, při n lichém bude obdélníková 66

67 Políčka Karnaughovy mapy součiny proměnných v jednotlivých políčkách: A A A A B A B A B AB A A B B A BC AB C ABC ABC A BC ABC ABC ABC C 67

68 Políčka Karnaughovy mapy součiny proměnných v jednotlivých políčkách: A B ABCD ABCD ABCD ABCD ABCD ABCD ABCD ABCD ABCD ABCD ABCD ABCD C ABCD ABCD ABCD ABCD D 68

69 Sousedící políčka součiny sousedících políček se mění jen v jedné proměnné (mapa je sestavena v Grayově kódu) sousedící políčka sousedí jen stranami, nikoliv přes rohy 69

70 Sousedící políčka sousedící políčka mapa pro 3 proměnné A B C sousedící políčka mapa pro 4 proměnné B A C D 70

71 Minimalizace pomocí Karnaughovy mapy naplníme Karnaughovu mapu logickými 1 (logické 0 se někdy nezapisují): z pravdivostní tabulky z algebraického výrazu - ve správném tvaru jako součet součinů A B C 71

72 Minimalizace pomocí Karnaughovy mapy zarámujeme (graficky sdružujeme) sousedící políčka s hodnotou log. 1 počet zarámovaných log. 1 může být 1, 2, 4, 8, 16 (binární číslo) zarámujeme vždy co největší oblast políček snažíme se dosáhnout minimálního počtu smyček A B C

73 Minimalizace pomocí Karnaughovy mapy zarámované musí být všechny 1v mapě již jednou zarámovaná 1 v jedné smyčce může být součástí jiné smyčky viz políčko označené A B C 73

74 Minimalizace pomocí Karnaughovy mapy pro každou smyčku napíšeme součin proměnných, které nemění pro celou oblast svou hodnotu (negaci) všechny součiny sečteme kolik je smyček tolik bude součinů modrá smyčka: AC červená smyčka: B výsledná funkce: Y= B + AC A B C 74

75 Funkce zadaná pravdivostní tabulkou pro řádky, na nichž Y=1, napíšeme součin všech proměnných tak, aby vyšla hodnota 1 součiny sečteme A B C Y ABC ABC ABC ABC Výsledná funkce: Y=ABC+ABC+ABC+ABC 75

76 Funkce zadaná pravdivostní tabulkou výslednou funkci Y=ABC+ABC+ABC+ABC zapíšeme do Karnaughovy mapy vytvoříme smyčky minimalizovaná funkce: Y = AC+AB+BC A B C AC AB BC 76

77 Funkce zadaná algebraickým výrazem výraz musí být upraven na součet součinů Y=ABC+AB+ABC+ABC součin menšího počtu proměnných (než je celkový počet zde 3) dodá 1 do více políček současně různé součiny mohou dodat své 1 do stejného políčka, v políčku pak necháme jen jednu 1 minimalizovaná funkce: Y=ABC+AB+BC C A 1 1 A 1 1 B B C 77

78 Využití neúplně zadané funkce A B A B X 1 1 C C Y=AB+AC Y=A 78

79 Kombinační logické obvody

80 Kombinační logické obvody výstupní hodnota závisí jen na současné hodnotě vstupních hodnot pro sestavení libovolné kombinační funkce potřebujeme tzv. úplný systém logických funkcí, ten tvoří trojice: logický součet logický součin negace další úplné systémy logických funkcí poznáme později 80

81 Základní logické členy logický člen elektrický obvod, který realizuje požadovanou logickou funkci obecně se nazývají hradla hradla se zakreslují schematickými značkami schematické značky se pro stejná hradla liší: evropské měli bychom je používat americké vliv programů pro kreslení schémat (vyrobené v USA) hradla mívají nejčastěji od 2 do 8 vstupů (také i 13 vstupů) 81

82 Základní hradla Logický součet OR Y = A+B A B A+B Logický součin AND Y = A. B A B A B & Negace NOT Y = A A A

83 Hradlo NAND hradlo NAND (úplný systém logických funkcí) Y=A.B A & A.A = A + A = A A B Y A B A B A.B A B A. B A. B = A + B = A + B 83

84 Hradlo NOR hradlo NOR (úplný systém logických funkcí) Y=A+B A 1 A.A = A + A = A A B Y A B A B A+B A B A + B A + B = A. B = A. B 84

85 Hradla XOR a EQ XOR, EX OR exkluzivní (výhradní) součet Y=AB+AB = A B =1 A B Y XNOR, EX NOR, EQ ekvivalence (shodnost) Y=AB+AB = A B =1 A B Y

86 AND-OR-INVERT (AND-NOR) realizuje vztah: Y=AB+CD výstup je v log. 0 jen tehdy, je-li na obou vstupech některé sekce AND současně stav log. 1, ve všech ostatních případech ve na výstupu log.1 zkrácená pravdivostní tabulka: A B C D Y 1 & 1 1 X X 0 & X X ostatní kombinace 1 86

87 Aplikace logických členů zjednodušte výraz Y=AB+AB+AB, porovnejte obvodovou realizaci původního a zjednodušeného schématu po zjednodušení: Y=A+B 1 A před zjednodušením B 1 1 po zjednodušení 87

88 Převodníky kódů převodníky převádějí znak (informaci) z jednoho kódu do jiného kódu v literatuře se používají pojmy kodér a dekodér, kodér převádí znak do nějakého kódu, dekodér jej převádí zpět do původního formátu oba převodníky pracují na stejném principu, budeme nadále používat termín dekodér (převodník) 88

89 Převodník 1 ze 4 obecně převodník 1 z N převádí stavy z přímého binárního kódu na kód, kdy je aktivní vždy pouze jeden z N výstupů převodník 1 ze 4 má 2 vstupy a 4 výstupy (2 2 =4) A B V0 V1 V2 V V0 = A B V1 = A B V2 = A B V3 = A B 89

90 Převodník 1 ze 4 schéma zapojení V0 = A B V1 = A B V2 = A B V3 = A B 90

91 Převodník BCD kódu na kód 1 z 10 vstupem je 4 bitové BCD číslo, aktivní je jeden výstup z 10 Vstupy Výstupy D C B A V0 V1 V2 V3 V4 V5 V6 V7 V8 V ostatní V0 = A B C D V1 = A B C D V2 = A B C D V3 = A B C D V4 = A B C D V5 = A B C D V6 = A B C D V7 = A B C D V8 = A B C D V9 = A B C D 91

92 92 Převodník BCD kódu na kód 1 z 10 D C B A V0 = D C B A V1 = D C B A V2 = D C B A V3 = D C B A V4 = D C B A V5 = D C B A V6 = D C B A V9 = D C B A V8 = D C B A V7 = schéma zapojení

93 Převodník kódu 1 z 10 na kód BCD používá se pro převod desítkového vstupního signálu (např. z klávesnice) do kódu BCD Vstupy Výstupy napíšeme vztahy pro výstupy: S0 S1 S2 S3 S4 S5 S6 S7 S8 S9 D C B A A=S1+S3+S5+S7+S9 B=S2+S3+S6+S7 C=S4+S5+S6+S7 D=S8+S9 použijeme hradla OR 93

94 Převodník kódu 1 z 10 na kód BCD vstupy hradel jsou přes rezistory připojeny na zem a tak mají na svém vstupu log.0 při stisku číselného tlačítka se přivede na dané vstupy hradel log.1 94

95 Multiplexery multiplexer si můžeme představit jako vícepolohový přepínač má za úkol propojit jeden ze vstupů na výstup vstup, který má být připojen k výstupu, se určí adresou v binárním kódu multiplexer má n adresových vstupů, 2 n datových vstupů a 1 výstup vstupy datové vstupy adresové vstupy 2 3 E0 E1 E2 E3 E4 E5 E6 E7 A B C A B C MX výstup Y 95

96 Multiplexery použití: spínání signálu ze vstupu na výstup generování logické funkce převod paralelních dat na sériová zjištění stavu na vstupu Di 96

97 Dvouvstupový multiplexer nejjednodušší multiplexer obsahuje dva datové vstupy D0 a D1 a jeden řídící vstup V pro V=1: vstup D1 je zablokován a platí Výstup=D0 pro V=0: vstup D0 je zablokován a platí Výstup=D1 V Výstup 0 D1 1 D0 97

98 Čtyřvstupový multiplexer k sestrojení použijeme převodník 1 ze 4 ( ) obsahuje dva adresové vstupy A0 a A1, čtyři datové vstupy D0 až D3 a jeden výstup VY dekodér 1 ze 4 podle přivedené adresy (A0, A1) uvede jeden výstup do log. 1 a tím připojí příslušný vstup D k výstupu A1 A0 VY 0 0 D0 0 1 D1 1 0 D2 1 1 D3 98

99 Generování Bool. funkce multiplexerem multiplexerem lze realizovat funkci zadanou buď pravdivostní tabulkou nebo výrazem ve tvaru součtu součinů realizujeme multiplexorem majoritní funkci A B C Vstup Součin Y E0 A B C E1 A B C E2 A B C E3 A B C E4 A B C E5 A B C E6 A B C E7 A B C 1 99

100 Generování Bool. funkce multiplexerem má-li být daný součin realizován (v tabulce je 1), přivedeme na daný vstup log.1 nemá-li být daný součin realizován (v tabulce je 0), přivedeme na daný vstup log E0 E1 E2 E3 E4 E5 E6 E7 A B C MX Y Y=E3+E5+E6+E7= =ABC+ABC+ABC+ABC 100

101 Demultiplexery demultiplexer je v zásadě obrácený multiplexer má jeden vstup, který může být propojen na jeden z několika výstupů základním funkčním blokem je dekodér 1 ze 4 použití: převod sériových dat na paralelní 101

102 Demultiplexery použití multiplexeru a demultiplexeru: přenos informací rychlým sériovým kanálem, na vstupní straně se zdroje signálů svedou do multiplexeru a ten je převede do sériového tvaru a vysílač je vyšle do přijímače, z přijímače se sériová data přivedou do demultiplexeru a ten je rozdělí do patřičného počtu výstupů (stejného jako bylo vstupů). zdroj 1 zdroj 2 zdroj n MX multiplexování vysílač přijímač rychlá přenosová cesta DX příjemce 1 příjemce 2 příjemce n demultiplexování 102

103 Sčítačky sčítačky jsou kombinační obvody, které realizují součet dvou binárních čísel pro sčítání platí vztahy: = = = = 0 přenos 1 do vyššího řádu A B Y výstupní hodnoty odpovídají výstupu hradla XOR (exkluzívní součet, A B) XOR je tedy základní stavební prvek sčítaček =1 103

104 Poloviční sčítačka součet realizuje hradlo XOR přenos realizuje hradlo AND poloviční -znamená, že nepracuje s přenosem z předchozího řádu (zkratka HS half-summer). A B HS S P 104

105 Úplná sčítačka sčítá dva bity a přenos z předchozího řádu má 3 vstupy: 2 sčítané bity A, B přenos z předchozího řádu P má 2 výstupy: součet S přenos do vyššího řádu P1 A B P SM S P1 A B P HS P S HS P S 1 P1 S 105

106 Čtyřbitová sčítačka sečte dvě 4 bitová čísla, výsledkem je 4 bitový součet S a přenos do vyššího řádu P nevýhoda pro velký počet bitů (32, 64) trvá výpočet dlouho signál prochází postupně mnoha hradly to má za následek velké zpoždění P0 A0 B0 A1 B1 A2 B2 A3 B3 0 SM S P0 SM S P1 SM S P2 SM S P3 S0 S1 S2 S3 P 106

107 Sčítačka se zrychleným přenosem pro rychlý výpočet součtu lze použít obvod pro zrychlený výpočet přenosů a 0 b 0 a 1 b 1 a 2 b 2 a 3 b 3 obvod pro zrychlení výpočtu přenosu P 0 P 1 P 2 P 3 Σ Σ Σ Σ S 0 S 1 S 2 S 3 107

108 Sčítačka a odčítačka sčítačku lze použít i pro odčítání odčítané číslo převedeme na záporné pomocí dvojkového doplňku operaci nastavíme log. 1 na daném vstupu (sčítání, odčítání), na druhém bude log.0 odčítání sčítání B & číslo B B & 1 p 0 SM S sčítačka číslo A 108

109 Sériová sčítačka tam, kde nevadí pomalost, lze použít pro sčítání vícebitových čísel jedinou sčítačku sčítá se postupně bit po bitu v posuvném registru pro n-místná čísla je zapotřebí n kroků (taktů hodin) Registr A SM Registr výsledku Registr B P přenos 109

110 Literatura 110

111 Literatura BERNARD, Jean-Michel, HUGON, Jean, LE CORVEC, Robert. Od logických obvodů k mikroprocesorům. Praha : SNTL, s. MATOUŠEK, David. Číslicová technika : základy konstruktérské praxe. 1. vyd. Praha : BEN - technická literatura, s. ISBN ANTOŠOVÁ, Marcela, DAVÍDEK, Vratislav. Číslicová technika : učebnice. 1. vyd. České Budějovice : KOPP, s. ISBN MALINA, Václav. Digitální technika. 1. vyd. České Budějovice : KOPP, s. BAYER, Jiří, HANZÁLEK, Zdeněk, ŠUSTA, Richard. Logické systémy pro řízení. 1. vyd. Praha : Vydavatelství ČVUT, s. ŠIMEK, Tomáš, BURGET, Pavel. Elektronické systémy 1 : přednášky. 1. vyd. Praha : Vydavatelství ČVUT, s. 111

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je vstup určen jen výhradně kombinací vstupních veličin. Hodnoty

Více

Způsoby realizace této funkce:

Způsoby realizace této funkce: KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je výstup určen jen výhradně kombinací vstupních veličin. Hodnoty výstupních veličin nezávisejí na předcházejícím stavu logického obvodu, což znamená, že kombinační

Více

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Číselné vyjádření hodnoty. Kolik váží hrouda zlata? Čísla a logika Číselné vyjádření hodnoty Au Kolik váží hrouda zlata? Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží

Více

12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace.

12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace. 12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace. Logická proměnná - proměnná nesoucí logickou hodnotu Logická funkce - funkce přiřazující

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více

Binární logika Osnova kurzu

Binární logika Osnova kurzu Osnova kurzu 1) Základní pojmy; algoritmizace úlohy 2) Teorie logického řízení 3) Fuzzy logika 4) Algebra blokových schémat 5) Vlastnosti členů regulačních obvodů 6) Vlastnosti regulátorů 7) Stabilita

Více

Kódováni dat. Kódy používané pro strojové operace

Kódováni dat. Kódy používané pro strojové operace Kódováni dat Před zpracováním dat například v počítači je třeba znaky převést do tvaru, kterému počítač rozumí, tj. přiřadit jim určité kombinace bitů. Tomuto převodu se říká kódování. Kód je předpis pro

Více

Mikroprocesorová technika (BMPT)

Mikroprocesorová technika (BMPT) Mikroprocesorová technika (BMPT) Přednáška č. 10 Číselné soustavy v mikroprocesorové technice Ing. Tomáš Frýza, Ph.D. Obsah přednášky Číselné soustavy v mikroprocesorové technice Dekadická, binární, hexadecimální

Více

Struktura a architektura počítačů (BI-SAP) 5

Struktura a architektura počítačů (BI-SAP) 5 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 5 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

2. LOGICKÉ OBVODY. Kombinační logické obvody

2. LOGICKÉ OBVODY. Kombinační logické obvody Hardware počítačů Doc.Ing. Vlastimil Jáneš, CSc, K620, FD ČVUT E-mail: janes@fd.cvut.cz Informace a materiály ke stažení na WWW: http://www.fd.cvut.cz/personal/janes/hwpocitacu/hw.html 2. LOGICKÉ OBVODY

Více

Číselné soustavy. Ve světě počítačů se využívají tři základní soustavy:

Číselné soustavy. Ve světě počítačů se využívají tři základní soustavy: Číselné soustavy Ve světě počítačů se využívají tři základní soustavy: dekadická binární hexadecimální patří mezi soustavy poziční, tj. desítková hodnota každé číslice (znaku) závisí na její pozici vzhledem

Více

1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD

1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD .. Minimalizace logické funkce a implementace do cílového programovatelného obvodu Zadání. Navrhněte obvod realizující neminimalizovanou funkci (úplný term) pomocí hradel AND, OR a invertorů. Zaznamenejte

Více

Základy číslicové techniky. 2 + 1 z, zk

Základy číslicové techniky. 2 + 1 z, zk Základy číslicové techniky 2 + 1 z, zk Ing. Vít Fábera, K614 e-mail: fabera@fd.cvut.cz K508, 5. patro, laboratoř, 2 2435 9555 Ing. Tomáš Musil, Ph.D., K620 e-mail: musil@asix.cz K508, 5. patro, laboratoř,

Více

Kódy a kódování dat. Binární (dvojkové) kódy. Kód Aikenův

Kódy a kódování dat. Binární (dvojkové) kódy. Kód Aikenův Kódy a kódování dat Kódování je proces, při kterém se každému znaku nebo postupnosti znaků daného souboru znaků jednoznačně přiřadí znak nebo postupnost znaků z jiného souboru znaků. Kódování je tedy transformace

Více

DIGITÁLN LNÍ OBVODY A MIKROPROCESORY 1. ZÁKLADNÍ POJMY DIGITÁLNÍ TECHNIKY

DIGITÁLN LNÍ OBVODY A MIKROPROCESORY 1. ZÁKLADNÍ POJMY DIGITÁLNÍ TECHNIKY DIGITÁLN LNÍ OBVODY A MIKROPROCESORY BDOM Prof. Ing. Radimír Vrba, CSc. Doc. Ing. Pavel Legát, CSc. Ing. Radek Kuchta Ing. Břetislav Mikel Ústav mikroelektroniky FEKT VUT @feec.vutbr.cz

Více

1 z 9 9.6.2008 13:27

1 z 9 9.6.2008 13:27 1 z 9 9.6.2008 13:27 Test: "TVY_KLO" Otázka č. 1 Převodníku je: kombinační logický obvod, který převádí jeden binární kód do druhého Odpověď B: obvod, pomocí kterého můžeme převádět číslo z jedné soustavy

Více

Logické proměnné a logické funkce

Logické proměnné a logické funkce Booleova algebra Logické proměnné a logické funkce Logická proměnná je veličina, která může nabývat pouze dvou hodnot, označených 0 a I (tedy dvojková proměnná) a nemůže se spojitě měnit Logická funkce

Více

ČÍSELNÉ SOUSTAVY PŘEVODY

ČÍSELNÉ SOUSTAVY PŘEVODY ČÍSELNÉ SOUSTAVY V každodenním životě je soustava desítková (decimální, dekadická) o základu Z=10. Tato soustava používá číslice 0, 1, 2, 3, 4, 5, 6, 7, 8 a 9, není však vhodná pro počítače nebo číslicové

Více

Data v počítači. Informační data. Logické hodnoty. Znakové hodnoty

Data v počítači. Informační data. Logické hodnoty. Znakové hodnoty Data v počítači Informační data (elementární datové typy) Logické hodnoty Znaky Čísla v pevné řádové čárce (celá čísla) v pohyblivé (plovoucí) řád. čárce (reálná čísla) Povelová data (instrukce programu)

Více

Číslicové obvody základní pojmy

Číslicové obvody základní pojmy Číslicové obvody základní pojmy V číslicové technice se pracuje s fyzikálními veličinami, které lze popsat při určité míře zjednodušení dvěma stavy. Logické stavy binární proměnné nabývají dvou stavů:

Více

Aritmetické operace a obvody pro jejich realizaci

Aritmetické operace a obvody pro jejich realizaci Kapitola 4 Aritmetické operace a obvody pro jejich realizaci 4.1 Polyadické číselné soustavy a jejich vlastnosti Polyadické soustavy jsou určeny přirozeným číslem z, kterému se říká základ nebo báze dané

Více

P4 LOGICKÉ OBVODY. I. Kombinační Logické obvody

P4 LOGICKÉ OBVODY. I. Kombinační Logické obvody P4 LOGICKÉ OBVODY I. Kombinační Logické obvody I. a) Základy logiky Zákony Booleovy algebry 1. Komutativní zákon duální forma a + b = b + a a. b = b. a 2. Asociativní zákon (a + b) + c = a + (b + c) (a.

Více

Y36SAP. Osnova. Číselné soustavy a kódy, převody, aritmetické operace Y36SAP Poziční číselné soustavy a převody.

Y36SAP. Osnova. Číselné soustavy a kódy, převody, aritmetické operace Y36SAP Poziční číselné soustavy a převody. Y36SAP Číselné soustavy a kódy, převody, aritmetické operace Tomáš Brabec, Miroslav Skrbek - X36SKD-cvičení. Úpravy pro SAP Hana Kubátová Osnova Poziční číselné soustavy a převody Dvojková soust., převod

Více

2.8 Kodéry a Rekodéry

2.8 Kodéry a Rekodéry 2.8 Kodéry a Rekodéry 2.8.1 Úkol měření 1. Navrhněte a realizujte rekodér z kódu BCD na kód 2421 a ověřte jeho funkčnost 2. Navrhněte a realizujte rekodér z kódu 2421 na kód BCD a ověřte jeho funkčnost

Více

Booleovská algebra. Booleovské binární a unární funkce. Základní zákony.

Booleovská algebra. Booleovské binární a unární funkce. Základní zákony. Booleovská algebra. Booleovské binární a unární funkce. Základní zákony. Tomáš Bayer bayertom@natur.cuni.cz Katedra aplikované geoinformatiky a kartografie, Přírodovědecká fakulta UK. Tomáš Bayer bayertom@natur.cuni.cz

Více

Logické řízení. Náplň výuky

Logické řízení. Náplň výuky Logické řízení Logické řízení Náplň výuky Historie Logické funkce Booleova algebra Vyjádření Booleových funkcí Minimalizace logických funkcí Logické řídicí obvody Blokové schéma Historie Číslicová technika

Více

Booleova algebra. ZákonyBooleovy algebry Vyjádření logických funkcí

Booleova algebra. ZákonyBooleovy algebry Vyjádření logických funkcí Booleova algebra ZákonyBooleovy algebry Vyjádření logických funkcí pravdivostní tabulka logický výraz seznam indexů vstupních písmen mapa vícerozměrná krychle 30-1-13 O. Novák 1 Booleova algebra Booleova

Více

Neuronové sítě Minimalizace disjunktivní normální formy

Neuronové sítě Minimalizace disjunktivní normální formy Neuronové sítě Minimalizace disjunktivní normální formy Zápis logické funkce Logická funkce f : {0, 1} n {0, 1} Zápis základní součtový tvar disjunktivní normální forma (DNF) základní součinový tvar konjunktivní

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

Úvod do informačních technologií

Úvod do informačních technologií Úvod do informačních technologií přednášky Jan Outrata září prosinec 2009 (aktualizace září prosinec 2012) Jan Outrata (KI UP) Úvod do informačních technologií září prosinec 2012 1 / 58 Binární logika

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

Principy počítačů. Prof. RNDr. Peter Mikulecký, PhD.

Principy počítačů. Prof. RNDr. Peter Mikulecký, PhD. Principy počítačů Prof. RNDr. Peter Mikulecký, PhD. Číselné soustavy Obsah přednášky: Přednáška 3 Číselné soustavy a převody mezi nimi Kódy, přímý, inverzní a doplňkový kód Znakové sady Úvod Člověk se

Více

Číselné soustavy a převody mezi nimi

Číselné soustavy a převody mezi nimi Číselné soustavy a převody mezi nimi Základní požadavek na počítač je schopnost zobrazovat a pamatovat si čísla a provádět operace s těmito čísly. Čísla mohou být zobrazena v různých číselných soustavách.

Více

Sylabus kurzu Elektronika

Sylabus kurzu Elektronika Sylabus kurzu Elektronika 5. ledna 2004 1 Analogová část Tato část je zaměřena zejména na elektronické prvky a zapojení v analogových obvodech. 1.1 Pasivní elektronické prvky Rezistor, kondenzátor, cívka-

Více

3 Jednoduché datové typy 2 3.1 Interpretace čísel v paměti počítače... 3. 4 Problémy s matematickými operacemi 5

3 Jednoduché datové typy 2 3.1 Interpretace čísel v paměti počítače... 3. 4 Problémy s matematickými operacemi 5 Obsah Obsah 1 Číselné soustavy 1 2 Paměť počítače 1 2.1 Měření objemu paměti počítače................... 1 3 Jednoduché datové typy 2 3.1 Interpretace čísel v paměti počítače................. 3 4 Problémy

Více

Úvod do informačních technologií

Úvod do informačních technologií Úvod do informačních technologií Jan Outrata KATEDRA INFORMATIKY UNIVERZITA PALACKÉHO V OLOMOUCI přednášky Binární logika Jan Outrata (Univerzita Palackého v Olomouci) Úvod do informačních technologií

Více

VY_32_INOVACE_CTE_2.MA_04_Aritmetické operace v binární soustavě Střední odborná škola a Střední odborné učiliště, Dubno Ing.

VY_32_INOVACE_CTE_2.MA_04_Aritmetické operace v binární soustavě Střední odborná škola a Střední odborné učiliště, Dubno Ing. Číslo projektu Číslo materiálu Název školy Autor Tematická oblast Ročník CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_CTE_2.MA_04_Aritmetické operace v binární soustavě Střední odborná škola a Střední odborné

Více

SČÍTAČKA, LOGICKÉ OBVODY ÚVOD TEORIE

SČÍTAČKA, LOGICKÉ OBVODY ÚVOD TEORIE SČÍTAČKA, LOGICKÉ OBVODY ÚVOD Konzultanti: Peter Žilavý, Jindra Vypracovali: Petr Koupý, Martin Pokorný Datum: 12.7.2006 Naším úkolem bylo sestrojit pomocí logických obvodů (tzv. hradel) jednoduchou 4

Více

VÝUKOVÝ MATERIÁL. Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632 Číslo projektu

VÝUKOVÝ MATERIÁL. Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632 Číslo projektu VÝUKOVÝ MATERIÁL Identifikační údaje školy Vyšší odborná škola a Střední škola, Varnsdorf, příspěvková organizace Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632

Více

PODPORA ELEKTRONICKÝCH FOREM VÝUKY

PODPORA ELEKTRONICKÝCH FOREM VÝUKY INVE STICE DO ROZV O JE V ZDĚL ÁV Á NÍ PODPORA ELEKTRONICKÝCH FOREM VÝUKY CZ.1.07/1.1.06/01.0043 Tento projekt je financován z prostředků ESF a státního rozpočtu ČR. SOŠ informatiky a spojů a SOU, Jaselská

Více

Číselné soustavy v mikroprocesorové technice Mikroprocesorová technika a embedded systémy

Číselné soustavy v mikroprocesorové technice Mikroprocesorová technika a embedded systémy Ústav radioelektroniky Vysoké učení technické v Brně Číselné soustavy v mikroprocesorové technice Mikroprocesorová technika a embedded systémy Přednáška 8 doc. Ing. Tomáš Frýza, Ph.D. listopad 2012 Obsah

Více

Fz =a z + a z +...+a z +a z =

Fz =a z + a z +...+a z +a z = Polyadické číselné soustavy - převody M-místná skupina prvků se z-stavovou abecedou umožňuje zobrazit z m čísel. Zjistíme, že stačí vhodně zvolit číslo m, abychom mohli zobrazit libovolné číslo menší než

Více

Základy číslicové techniky z, zk

Základy číslicové techniky z, zk Základy číslicové techniky 2 + 1 z, zk Doc. Ing. Vlastimil Jáneš, CSc., K620 e-mail: janes@fd.cvut.cz K508, 5. patro, laboratoř, 2 2435 9555 Ing. Vít Fábera, K614 e-mail: fabera@fd.cvut.cz K508, 5. patro,

Více

ASYNCHRONNÍ ČÍTAČE Použité zdroje:

ASYNCHRONNÍ ČÍTAČE Použité zdroje: ASYNCHRONNÍ ČÍTAČE Použité zdroje: Antošová, A., Davídek, V.: Číslicová technika, KOPP, České Budějovice 2007 http://www.edunet.souepl.cz www.sse-lipniknb.cz http://www.dmaster.wz.cz www.spszl.cz http://mikroelektro.utb.cz

Více

1. Základní pojmy a číselné soustavy

1. Základní pojmy a číselné soustavy 1. Základní pojmy a číselné soustavy 1.1. Základní pojmy Hardware (technické vybavení počítače) Souhrnný název pro veškerá fyzická zařízení, kterými je počítač vybaven. Software (programové vybavení počítače)

Více

Nejvyšší řád čísla bit č. 7 bit č. 6 bit č.5 bit č. 4 bit č. 3 bit č. 2 bit č. 1 bit č. 0

Nejvyšší řád čísla bit č. 7 bit č. 6 bit č.5 bit č. 4 bit č. 3 bit č. 2 bit č. 1 bit č. 0 Číselné soustavy Cílem této kapitoly je sezn{mit se se z{kladními jednotkami používanými ve výpočetní technice. Poznat číselné soustavy, kódy a naučit se převody mezi číselnými soustavami. Klíčové pojmy:

Více

Obsah DÍL 1. Předmluva 11

Obsah DÍL 1. Předmluva 11 DÍL 1 Předmluva 11 KAPITOLA 1 1 Minulost a současnost automatizace 13 1.1 Vybrané základní pojmy 14 1.2 Účel a důvody automatizace 21 1.3 Automatizace a kybernetika 23 Kontrolní otázky 25 Literatura 26

Více

4. Elektronické logické členy. Elektronické obvody pro logické členy

4. Elektronické logické členy. Elektronické obvody pro logické členy 4. Elektronické logické členy Kombinační a sekvenční logické funkce a logické členy Elektronické obvody pro logické členy Polovodičové paměti 1 Kombinační logické obvody Způsoby zápisu logických funkcí:

Více

Vektory a matice. Obsah. Aplikovaná matematika I. Carl Friedrich Gauss. Základní pojmy a operace

Vektory a matice. Obsah. Aplikovaná matematika I. Carl Friedrich Gauss. Základní pojmy a operace Vektory a matice Aplikovaná matematika I Dana Říhová Mendelu Brno Obsah 1 Vektory Základní pojmy a operace Lineární závislost a nezávislost vektorů 2 Matice Základní pojmy, druhy matic Operace s maticemi

Více

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1.

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1. Y36SAP 26.2.27 Y36SAP-2 Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka 27-Kubátová Y36SAP-Logické obvody Logický obvod Vstupy a výstupy nabývají pouze hodnot nebo Kombinační obvod popsán

Více

Základní jednotky používané ve výpočetní technice

Základní jednotky používané ve výpočetní technice Základní jednotky používané ve výpočetní technice Nejmenší jednotkou informace je bit [b], který může nabývat pouze dvou hodnot 1/0 (ano/ne, true/false). Tato jednotka není dostatečná pro praktické použití,

Více

Nejvyšší řád čísla bit č. 7 bit č. 6 bit č.5 bit č. 4 bit č. 3 bit č. 2 bit č. 1 bit č. 0

Nejvyšší řád čísla bit č. 7 bit č. 6 bit č.5 bit č. 4 bit č. 3 bit č. 2 bit č. 1 bit č. 0 Číselné soustavy Cílem této kapitoly je sezn{mit se se z{kladními jednotkami používanými ve výpočetní technice. Poznat číselné soustavy, umět v nich prov{dět z{kladní aritmetické operace a naučit se převody

Více

ČÍSLICOVÁ TECHNIKA UČEBNÍ TEXTY

ČÍSLICOVÁ TECHNIKA UČEBNÍ TEXTY Číslicová technika- učební texty. (HS určeno pro SPŠ Zlín) Str.: - - ČÍSLIOVÁ TEHNIK UČENÍ TEXTY (Určeno pro vnitřní potřebu SPŠ Zlín) Zpracoval: ing. Kovář Josef, ing. Hanulík Stanislav Číslicová technika-

Více

Sada 1 - Základy programování

Sada 1 - Základy programování S třední škola stavební Jihlava Sada 1 - Základy programování 04. Datové typy, operace, logické operátory Digitální učební materiál projektu: SŠS Jihlava šablony registrační číslo projektu:cz.1.09/1.5.00/34.0284

Více

Čísla a číselné soustavy.

Čísla a číselné soustavy. Čísla a číselné soustavy. Polyadické soustavy. Převody mezi soustavami. Reprezentace čísel. Tomáš Bayer bayertom@natur.cuni.cz Katedra aplikované geoinformatiky a kartografie, Přírodovědecká fakulta UK.

Více

Číslo materiálu. Datum tvorby Srpen 2012

Číslo materiálu. Datum tvorby Srpen 2012 Číslo projektu Číslo materiálu Název školy Autor Tematická oblast Ročník CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_CTE_2.MA_03_Převod čísel mezi jednotlivými číselnými soustavami Střední odborná škola a Střední

Více

Číselné soustavy. Binární číselná soustava

Číselné soustavy. Binární číselná soustava 12. Číselné soustavy, binární číselná soustava. Kódování informací, binární váhový kód, kódování záporných čísel. Standardní jednoduché datové typy s pevnou a s pohyblivou řádovou tečkou. Základní strukturované

Více

Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto

Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto Registrační číslo projektu Šablona Autor Název materiálu CZ.1.07/1.5.00/34.0951 III/2 INOVACE A ZKVALITNĚNÍ VÝUKY PROSTŘEDNICTVÍM ICT Mgr. Jana

Více

LOGICKÉ OBVODY J I Ř Í K A L O U S E K

LOGICKÉ OBVODY J I Ř Í K A L O U S E K LOGICKÉ OBVODY J I Ř Í K A L O U S E K Ostrava 2006 Obsah předmětu 1. ČÍSELNÉ SOUSTAVY... 2 1.1. Číselné soustavy - úvod... 2 1.2. Rozdělení číselných soustav... 2 1.3. Polyadcké číselné soustavy... 2

Více

Algoritmizace a programování

Algoritmizace a programování Algoritmizace a programování Výrazy Operátory Výrazy Verze pro akademický rok 2012/2013 1 Operace, operátory Unární jeden operand, operátor se zapisuje ve většině případů před operand, v některých případech

Více

PJC Cvičení #2. Číselné soustavy a binární reprezentace proměnných

PJC Cvičení #2. Číselné soustavy a binární reprezentace proměnných PJC Cvičení #2 Číselné soustavy a binární reprezentace proměnných Číselné soustavy Desítková (decimální) kdo nezná, tak...!!! Dvojková (binární) - nejjednodušší Šestnáctková (hexadecimální) - nejpoužívanější

Více

MODERNIZACE VÝUKY PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ

MODERNIZACE VÝUKY PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ Projekt: MODERNIZCE VÝUK PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ Úloha: Měření kombinačních logických funkcí kombinační logický obvod XOR neboli EXLUSIV OR Obor: Elektrikář slaboproud Ročník: 3. Zpracoval: Ing. Jiří

Více

Analogově-číslicové převodníky ( A/D )

Analogově-číslicové převodníky ( A/D ) Analogově-číslicové převodníky ( A/D ) Převodníky analogového signálu v číslicový (zkráceně převodník N/ Č nebo A/D jsou povětšině založeny buď na principu transformace napětí na jinou fyzikální veličinu

Více

Informační a komunikační technologie

Informační a komunikační technologie Informační a komunikační technologie 2. www.isspolygr.cz Vytvořil: Ing. David Adamovský Strana: 1 Škola Integrovaná střední škola polygrafická Ročník Název projektu 1. ročník SOŠ Interaktivní metody zdokonalující

Více

Sekvenční logické obvody

Sekvenční logické obvody Název a adresa školy: Střední škola průmyslová a umělecká, Opava, příspěvková organizace, Praskova 399/8, Opava, 746 01 Název operačního programu: OP Vzdělávání pro konkurenceschopnost, oblast podpory

Více

Číselné soustavy: Druhy soustav: Počítání ve dvojkové soustavě:

Číselné soustavy: Druhy soustav: Počítání ve dvojkové soustavě: Přednášející : Ing. Petr Haberzettl Zápočet : práce na doma hlavně umět vysvětlit Ze 120 lidí udělá maximálně 25 :D Literatura : Frištacký - Logické systémy Číselné soustavy: Nevyužíváme 10 Druhy soustav:

Více

Čísla a aritmetika. Řádová čárka = místo, které odděluje celou část čísla od zlomkové.

Čísla a aritmetika. Řádová čárka = místo, které odděluje celou část čísla od zlomkové. Příprava na cvčení č.1 Čísla a artmetka Číselné soustavy Obraz čísla A v soustavě o základu z: m A ( Z ) a z (1) n kde: a je symbol (číslce) z je základ m je počet řádových míst, na kterých má základ kladný

Více

Pokud není uvedeno jinak, uvedený materiál je z vlastních zdrojů autora

Pokud není uvedeno jinak, uvedený materiál je z vlastních zdrojů autora Číslo projektu Číslo materiálu ázev školy Autor ázev Téma hodiny Předmět Ročník /y/ C.1.07/1.5.00/34.0394 VY_3_IOVACE_1_ČT_1.01_ vyjádření čísel v různých číselných soustavách Střední odborná škola a Střední

Více

M - Příprava na pololetní písemku č. 1

M - Příprava na pololetní písemku č. 1 M - Příprava na pololetní písemku č. 1 Určeno jako studijní materiál pro třídu 2K. VARIACE 1 Tento dokument byl kompletně vytvořen, sestaven a vytištěn v programu dosystem - EduBase. Více informací o programu

Více

Obsah. Vymezení použitých pojmů

Obsah. Vymezení použitých pojmů Obsah Vymezení použitých pojmů Základní pravidla pro svazování kvadrantů v Karnaughových mapách Základní pravidla pro tvorbu rovnic Postup při zápisu rovnice z Karnaughovy mapy Příklady řešení Vymezení

Více

Technická kybernetika. Obsah. Principy zobrazení, sběru a uchování dat. Měřicí řetězec. Principy zobrazení, sběru a uchování dat

Technická kybernetika. Obsah. Principy zobrazení, sběru a uchování dat. Měřicí řetězec. Principy zobrazení, sběru a uchování dat Akademický rok 2016/2017 Připravil: Radim Farana Technická kybernetika Principy zobrazení, sběru a uchování dat 2 Obsah Principy zobrazení, sběru a uchování dat strana 3 Snímač Měřicí řetězec Měřicí obvod

Více

Struktura a architektura počítačů (BI-SAP) 4

Struktura a architektura počítačů (BI-SAP) 4 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

2.7 Binární sčítačka. 2.7.1 Úkol měření:

2.7 Binární sčítačka. 2.7.1 Úkol měření: 2.7 Binární sčítačka 2.7.1 Úkol měření: 1. Navrhněte a realizujte 3-bitovou sčítačku. Pro řešení využijte dílčích kroků: pomocí pravdivostní tabulky navrhněte a realizujte polosčítačku pomocí pravdivostní

Více

Maticí typu (m, n), kde m, n jsou přirozená čísla, se rozumí soubor mn veličin a jk zapsaných do m řádků a n sloupců tvaru:

Maticí typu (m, n), kde m, n jsou přirozená čísla, se rozumí soubor mn veličin a jk zapsaných do m řádků a n sloupců tvaru: 3 Maticový počet 3.1 Zavedení pojmu matice Maticí typu (m, n, kde m, n jsou přirozená čísla, se rozumí soubor mn veličin a jk zapsaných do m řádků a n sloupců tvaru: a 11 a 12... a 1k... a 1n a 21 a 22...

Více

7 = 3 = = Učivo Vysvětlení Př. + pozn. Zlomek = vyjádření části celku 3 část snědla jsem 3 kousky

7 = 3 = = Učivo Vysvětlení Př. + pozn. Zlomek = vyjádření části celku 3 část snědla jsem 3 kousky 0 Učivo Vysvětlení Př. + pozn. Zlomek vyjádření části celku část snědla jsem kousky celek a pizza byla rozdělena na kousky Pojem zlomek Vyjádření zlomku Základní tvar: čitatel a jmenovatel jsou nesoudělná

Více

Pohled do nitra mikroprocesoru Josef Horálek

Pohled do nitra mikroprocesoru Josef Horálek Pohled do nitra mikroprocesoru Josef Horálek Z čeho vycházíme = Vycházíme z Von Neumannovy architektury = Celý počítač se tak skládá z pěti koncepčních bloků: = Operační paměť = Programový řadič = Aritmeticko-logická

Více

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač Y36SAP 27 Y36SAP-4 Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač 27-Kubátová Y36SAP-Logické obvody typické Často používané funkce Majorita:

Více

VY_32_INOVACE_CTE_2.MA_04_Aritmetické operace v binární soustavě Střední odborná škola a Střední odborné učiliště, Dubno Ing.

VY_32_INOVACE_CTE_2.MA_04_Aritmetické operace v binární soustavě Střední odborná škola a Střední odborné učiliště, Dubno Ing. Číslo projektu Číslo materiálu Náev škol Autor Tematická oblast Ročník CZ..7/.5./34.58 VY_32_INOVACE_CTE_2.MA_4_Aritmetické operace v binární soustavě Střední odborná škola a Střední odborné učiliště,

Více

Číselné vektory, matice, determinanty

Číselné vektory, matice, determinanty Číselné vektory, matice, determinanty Základy vyšší matematiky LDF MENDELU Podpořeno projektem Průřezová inovace studijních programů Lesnické a dřevařské fakulty MENDELU v Brně (LDF) s ohledem na discipĺıny

Více

Lineární algebra Operace s vektory a maticemi

Lineární algebra Operace s vektory a maticemi Lineární algebra Operace s vektory a maticemi Robert Mařík 26. září 2008 Obsah Operace s řádkovými vektory..................... 3 Operace se sloupcovými vektory................... 12 Matice..................................

Více

Struktura a architektura počítačů (BI-SAP) 6

Struktura a architektura počítačů (BI-SAP) 6 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 6 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Úvod do programování 7. hodina

Úvod do programování 7. hodina Úvod do programování 7. hodina RNDr. Jan Lánský, Ph.D. Katedra informatiky a matematiky Fakulta ekonomických studií Vysoká škola finanční a správní 2015 Umíme z minulé hodiny Syntax Znaky Vlastní implementace

Více

1 z 16 11.5.2009 11:33 Test: "CIT_04_SLO_30z50" Otázka č. 1 U Mooreova automatu závisí okamžitý výstup Odpověď A: na okamžitém stavu pamětí Odpověď B: na minulém stavu pamětí Odpověď C: na okamžitém stavu

Více

Matematika B101MA1, B101MA2

Matematika B101MA1, B101MA2 Matematika B101MA1, B101MA2 Zařazení předmětu: povinný předmět 1.ročníku bc studia 2 semestry Rozsah předmětu: prezenční studium 2 + 2 kombinované studium 16 + 0 / semestr Zakončení předmětu: ZS zápočet

Více

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení Měřicí a řídicí technika bakalářské studium - přednášky LS 28/9 LOGICKÉ ŘÍZENÍ matematický základ logického řízení kombinační logické řízení sekvenční logické řízení programovatelné logické automaty Matematický

Více

Základní principy zobrazení čísla Celá čísla s pevnou řádovou čárkou Zobrazení reálných čísel Aritmetika s binárními čísly

Základní principy zobrazení čísla Celá čísla s pevnou řádovou čárkou Zobrazení reálných čísel Aritmetika s binárními čísly Počítačové systémy Zobrazení čísel v počítači Miroslav Flídr Počítačové systémy LS 2007-1/21- Západočeská univerzita v Plzni Vážený poziční kód Obecný předpis čísla vyjádřeného v pozičním systému: C =

Více

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty.

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty. Akademický rok 2016/2017 Připravil: adim Farana Technická kybernetika Klopné obvody, sekvenční funkční diagramy, programovatelné logické automaty 2 Obsah Klopné obvody:. D. JK. Použití klopných obvodů.

Více

1.5.2 Číselné soustavy II

1.5.2 Číselné soustavy II .. Číselné soustavy II Předpoklady: Př. : Převeď do desítkové soustavy čísla. a) ( ) b) ( ) 4 c) ( ) 6 = + + + = 7 + 9 + = a) = 4 + 4 + 4 = 6 + 4 + = 9 b) 4 = 6 + 6 + 6 = 6 + 6 + = 6 + + = 69. c) 6 Pedagogická

Více

Minimalizace logické funkce

Minimalizace logické funkce VYSOKÉ UČENÍ TEHNIKÉ V RNĚ FKULT ELEKTROTEHNIKY KOMUNIKČNÍH TEHNOLOGIÍ Ústav mikroelektroniky LORTORNÍ VIČENÍ Z PŘEDMĚTU Digitální integrované obvody Minimalizace logické funkce Michal Krajíček Martin

Více

6 Algebra blokových schémat

6 Algebra blokových schémat 6 Algebra blokových schémat Operátorovým přenosem jsme doposud popisovali chování jednotlivých dynamických členů. Nic nám však nebrání, abychom přenosem popsali dynamické vlastnosti složitějších obvodů,

Více

Čísla, reprezentace, zjednodušené výpočty

Čísla, reprezentace, zjednodušené výpočty Čísla, reprezentace, zjednodušené výpočty Přednáška 5 A3B38MMP kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2015, J.Fischer, ČVUT - FEL, kat. měření 1 Čísla 4 bitová dec bin. hex. 0 0000 0 1 0001

Více

Title: IX 6 11:27 (1 of 6)

Title: IX 6 11:27 (1 of 6) PŘEVODNÍKY ANALOGOVÝCH A ČÍSLICOVÝCH SIGNÁLŮ Převodníky umožňující transformaci číslicově vyjádřené informace na analogové napětí a naopak zaujímají v řídícím systému klíčové postavení. Značná část měřených

Více

Žáci mají k dispozici pracovní list. Formou kolektivní diskuze a výkladu si osvojí způsoby algebraické minimalizace a využití Booleovy algebry

Žáci mají k dispozici pracovní list. Formou kolektivní diskuze a výkladu si osvojí způsoby algebraické minimalizace a využití Booleovy algebry Číslo projektu Číslo materiálu Náev školy Autor Náev Téma hodiny Předmět Ročník /y/ CZ..07/.5.00/4.04 VY INOVACE_8_ČT_.08_ algebraická minimaliace Střední odborná škola a Střední odborné učiliště, Hustopeče,

Více

Profilová část maturitní zkoušky 2015/2016

Profilová část maturitní zkoušky 2015/2016 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2015/2016 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: počítačové

Více

Digitalizace dat metodika

Digitalizace dat metodika Digitalizace dat metodika Digitalizace Jak počítač získá jedničky a nuly, se kterými potom počítá a které je schopen si pamatovat? Pomocí různých přístrojů a zařízení (mikrofon, fotoaparát, skener, kamera,

Více

MATA Př 3. Číselné soustavy. Desítková soustava (dekadická) základ 10, číslice 0, 1, 2, 3, 4, 5, 6, 7, 8, 9.

MATA Př 3. Číselné soustavy. Desítková soustava (dekadická) základ 10, číslice 0, 1, 2, 3, 4, 5, 6, 7, 8, 9. MATA Př 3 Číselné soustavy Poziční číselná soustava je dnes převládající způsob písemné reprezentace čísel dokonce pokud se dnes mluví o číselných soustavách, jsou tím obvykle myšleny soustavy poziční.

Více

4a) Racionální čísla a početní operace s nimi

4a) Racionální čísla a početní operace s nimi Racionální čísla a početní operace s nimi Množinu racionálních čísel získáme z množiny čísel celých, jejím rozšířením o čísla desetinná s ukončeným des. rozvojem nebo periodická a zlomky, které lze na

Více

Operace s maticemi

Operace s maticemi Operace s maticemi Seminář druhý 17.10. 2018 Obsah 1 Operace s maticemi 2 Hodnost matice 3 Regulární matice 4 Inverzní matice Matice Definice (Matice). Reálná matice typu m n je obdélníkové schema A =

Více

Disjunktivní a konjunktivní lní tvar formule. 2.přednáška

Disjunktivní a konjunktivní lní tvar formule. 2.přednáška Disjunktivní a konjunktivní normáln lní tvar formule 2.přednáška Disjunktivní normáln lní forma Definice Řekneme, že formule ( A ) je v disjunktivním normálním tvaru (formě), zkráceně v DNF, jestliže je

Více