Logické obvody - kombinační Booleova algebra, formy popisu Příklady návrhu

Rozměr: px
Začít zobrazení ze stránky:

Download "Logické obvody - kombinační Booleova algebra, formy popisu Příklady návrhu"

Transkript

1 MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Logické ovody - kominční Booleov lger, ormy popisu Příkldy návrhu České vysoké učení technické Fkult elektrotechnická ABMIS Mikroprocesory pro výkonové systémy Ver.. J. Zděnek,

2 Logický kominční ovod Logický kominční ovod (LKO) popsán logickou unkcí Vstupy výstupy nývjí pouze hodnot neo y n y m Hodnoty všech výstupních proměnných jsou v kždém čsovém okmžiku určeny pouze hodnotmi vstupních proměnných ve stejném okmžiku (LKO si nepmtuje své minulé stvy) ABMIS Mikroprocesory pro výkonové systémy

3 Logický ovod vojkové (inární) signály pouze Číslicový návrh Číslicové ovody logické ovody Popis logického ovodu Booleov lger, logické unkce Návrh číslicového počítče oecněji návrh číslicového systému návrh zákldních unkčních loků návrh komunikce mezi loky Logické kominční ovody (LKO) Logické sekvenční ovody (LSO) LKO vs LSO LKO okmžité výstupy unkcí pouze okmžitých vstupů LSO výstupy unkcí okmžitých vstupů minulosti (vnitřní stvy) Práce s moderními CA návrhovými systémy (lortoř) ABMIS Mikroprocesory pro výkonové systémy

4 Řešené prolémy při návrhu Speciikce unkce co chceme relizovt Hlvně y to ungovlo podle zdání Optimlizce návrhu z různých hledisek Velikost Rychlost Příkon Prcovní podmínky (teplot, virce, ) Spolehlivost Cen včetně návrhových prostředků Rychlost návrhu Testovtelnost (T design or testility) ABMIS Mikroprocesory pro výkonové systémy

5 Logická kominční unkce Kominční unkce: yk (,,,..., n ), k,,..., m y y n y m ABMIS Mikroprocesory pro výkonové systémy

6 Fáze návrhu číslicového systému Speciikce Určení vstupů výstupů Prvdivostní tulky Booleovské rovnice Minimlizce Návrh relizce n úrovni hrdel HL - Hrdwre escription Lnguge Schem n úrovni hrdel VHL, Verilog Hrdwre escription Lnguge Syntéz Logická simulce n úrovni hrdel Generování progrmového souoru Relizce číslicového ovodu Ověření návrhu ABMIS Mikroprocesory pro výkonové systémy

7 Sotwre Hrdwre BASYS FPGA evice ABMIS Mikroprocesory pro výkonové systémy

8 Booleov lger Booleov lger konečná množin prvků oshující: logické proměnné dvě inární operce (logický součin logický součet) unární operci negce,, c,... AN (.), OR ( ) NOT ( ), dv logické stvy (logické konstnty) konjunkce disjunkce Aiomy:.... (Aiom tvrzení, které se nedokzuje, pokládá se z pltné) ABMIS Mikroprocesory pro výkonové systémy 8

9 Booleov lger Zákony: ( ) c ( c) (. ). c.(. c).( c).. c (. c) ( )( c).... (. ).( )..( ). Komuttivní Asocitivní istriutivní Idempotentnost Komplementrit Agresivnost Neutrálnost Asorce Asorce negce Involuce ABMIS Mikroprocesory pro výkonové systémy 9

10 Booleov lger Zákony: c. c.. c ( ).( c).( c) ( ).( c) de Morgnův Asorce consensu. (,, c,...). (,, c,...). (,, c,...) důsledek: (,, c,...). (, c,...). (, c,...) Shnnonův o dekompozici Kždou logickou unkci lze zpst pomocí logického součinu, součtu negce Princip dulity: Kždé rovnosti výrzů odpovídá rovnost duálních výrzů dle trnsormce:. ( OR AN).. ( AN OR) ABMIS Mikroprocesory pro výkonové systémy

11 ABMIS Mikroprocesory pro výkonové systémy Funkce hrdel AN OR NOT zpisujeme. : AN zpisujeme : OR zpisujeme : NOT (Invertor)

12 ABMIS Mikroprocesory pro výkonové systémy Funkce hrdel NAN NOR XOR zpisujeme. : NAN zpisujeme : NOR zpisujeme : XOR

13 ABMIS Mikroprocesory pro výkonové systémy Funkce hrdel (více-vstupovéčleny) c c c AN OR XOR Lichý počet (Lichá prit) c c c c

14 Oecné kominční hrdlo, zpoždění Kominční hrdlo je určeno: Funkčním chováním Prvdivostní tulk Logická rovnice Ztížením vstupů výstupů Zpožděním signálu ze vstupu n výstup pro změnu n n (Propgtion ely) Úrovněmi logické n vstupu výstupu Spotřeou VIH Nejrychlejší nejmenší hrdl (z nejméně trnsistorů) jsou: invertor (NOT)(v CMOS trnsistory), NAN NOR (), AN OR () Skutečné hodnoty zpoždění závisí n technologii (us z jednotky ns) VIL tilh tihl VOH VOL ABMIS Mikroprocesory pro výkonové systémy

15 Inde, minterm, Mterm Prvdivostní tulk ( c,, ) c,, minterm (m) c.. c.. c.. c.. c.. c.. c.. c.. Mterm (M) c c c c c c c c Inde Nezávisle proměnné mintermy Funkční hodnoty Mtermy n d i i... d d d... d d d i ABMIS Mikroprocesory pro výkonové systémy

16 SoP (ÚNF), PoS (ÚNKF) ÚNF Úplná normální disjunktivní orm (SoP Sum o Products) m i( ) m (,,, ) i ( c,, ) c.. c.. c.. c.. ÚNKF Úplná normální konjunktivní orm (PoS Product o Sums) Mj() M(,,, ) j ( c,, ) ( c ).( c ).( c ).( c ) ABMIS Mikroprocesory pro výkonové systémy

17 Minimlizce logických unkcí Minimlizujte unkci (,, ) zdnou prvdivostní tulkou: m (,,,, ) SoP Sum o Products ÚNF m(,,,, ) M (,, ) PoS Product o Sums ÚNKF M (,,) ( )( )( ) ABMIS Mikroprocesory pro výkonové systémy

18 ABMIS Mikroprocesory pro výkonové systémy 8 Minimlizce logických unkcí ) ( ) ( ) ( ()() () () () () () ()() ()() ()() ()() ()() ( ) m( ) minterm( ). řešení ) Minimlizce úprvou logické unkce:

19 ABMIS Mikroprocesory pro výkonové systémy 9 Minimlizce logických unkcí ( ) m( ) minterm( ). řešení ) ( ) ( ) ( () () () () () ()() ()() ()() ()() ()() ()() ) Minimlizce úprvou logické unkce (pokrč.):

20 Minimlizce logických unkcí ) Minimlizce úprvou logické unkce (pokrč.): vě řešení:. řešení. řešení Podsttné impliknty žádný nelze vypustit z řešení ABMIS Mikroprocesory pro výkonové systémy

21 ABMIS Mikroprocesory pro výkonové systémy Minimlizce logických unkcí ) Minimlizce z K-mpy (Krnughov mp): ) m(,,,,. řešení. řešení Porovnej s řešením dle )

22 Minimlizce logických unkcí ) Minimlizce spojováním termů (Quine-McCluskey): (Vhodná metod pro počítčové zprcování) m(,,,, ) (... ) (... ) (... )( ) (... Tulk spojování mintermů ) m Krok m Krok m Krok, () (,) - - () (,) - - () (,) - - () (,) - - () Pokrytí mintermů Oznčenéřádky yly spojeny spojený term převeden do dlšího kroku ABMIS Mikroprocesory pro výkonové systémy

23 Minimlizce logických unkcí ) Minimlizce spojováním termů (pokrč.): Tulk spojování mintermů m Krok m Krok m () (,) - () (,) - () (,) - Krok, () (,) - - () Tulk pokrytí Impliknty / m ABMIS Mikroprocesory pro výkonové systémy

24 ABMIS Mikroprocesory pro výkonové systémy Minimlizce logických unkcí A B Impliknty / m ) Minimlizce spojováním termů (pokrč.): Vyhodnocení tulky pokrytí A B. řešení. řešení Podsttné impliknty žádný nelze vypustit z řešení. řešení. řešení Porovnej s řešením dle ) )

25 ABMIS Mikroprocesory pro výkonové systémy Relizce logické unkce

26 ABMIS Mikroprocesory pro výkonové systémy Relizce logické unkce A Jen hrdl NAN

27 ABMIS Mikroprocesory pro výkonové systémy Relizce logické unkce ) )( ( B

28 ABMIS Mikroprocesory pro výkonové systémy 8 Relizce logické unkce ) ( ) ( ) ( ) ( ) ( ) ( ) ) ( ( B C Jen hrdl NOR

29 ABMIS Mikroprocesory pro výkonové systémy 9 K mp (Krnughov mp) K mp orm prvdivostní tulky Používá se pro rychlou grickou minimlizci logických unkcí V sousedících polích K mpy se mění pouze jedn vstupní proměnná Čár nd příslušným polem znčí, že proměnná má hodnotu "" Očíslování polí K mpy je vhodná pomůck pro rychlý přenos hodnot logické unkce z ěžné prvdivostní tulky do K mpy K mp je použitelná pro () vstupních proměnných K - mp Prvdivostní tulk Oznčení polí indeem vhodná pomůck

30 K mp, postup minimlizce V K mpě oznčíme n tice sousedících hodnot Volíme co největší olsti co nejmeně olstí Vstupní proměnné, které se mění v oznčené olsti vyloučíme Z proměnných, které se nemění v oznčené olsti, zpíšeme minimlizovnou unkci ve tvru SoP (,,...) m (...,...,... ) ABMIS Mikroprocesory pro výkonové systémy

31 ABMIS Mikroprocesory pro výkonové systémy K mp XOR m(,) M (,) ) )( (

32 ABMIS Mikroprocesory pro výkonové systémy K mp XOR ) )( ( M (,) m(,) ) ( ) ( ) )( ( ) )( (

33 K mp, postup minimlizce ABMIS Mikroprocesory pro výkonové systémy

34 K mp, postup minimlizce ABMIS Mikroprocesory pro výkonové systémy

35 K mp šlony ABMIS Mikroprocesory pro výkonové systémy

36 Příkld digitální přepínč (Multipleer) Princip:, - digitální vstupy, s řídicí vstup, y digitální výstup ABMIS Mikroprocesory pro výkonové systémy

37 Symol multipleeru Příkld digitální přepínč (Multipleer) y s ABMIS Mikroprocesory pro výkonové systémy

38 Příkld digitální přepínč (Multipleer) Popis unkce prvdivostní tulkou (Truth Tle) s y y m (,,, ) s s s s SoP Sum o Products ABMIS Mikroprocesory pro výkonové systémy 8

39 SoP ez úprv Příkld digitální přepínč (Multipleer) y m (,,, ) s s s s s Relizce AN AN AN OR s AN Neekonomické ABMIS Mikroprocesory pro výkonové systémy 9

40 Příkld digitální přepínč (Multipleer) Minimlizce, K-mp y s y s s Relizce ABMIS Mikroprocesory pro výkonové systémy

41 Příkld digitální přepínč (Multipleer) Jen hrdl NAN, NOT Involuce e Morgn y s s s s s. s Relizce ABMIS Mikroprocesory pro výkonové systémy

42 ABMIS Mikroprocesory pro výkonové systémy Příkld digitální přepínč (Multipleer) Jen hrdl NOR, NOT s s s s s s s s y Relizce

43 Kominční vs. sekvenční ovody Kominční ovody Výstup závisí pouze n ktuální kominci signálů n vstupu, nezáleží n stvu vstupů v minulosti. Sekvenční ovody Výstup závisí n posloupnosti (sekvenci) hodnot n vstupech, tkové chování se relizuje tzv. zpětnou vzou. Vše lze mtemticky popst Logické unkce, udící unkce, unkce výstupů, stvové proměnné Konečný utomt FSM (Finite Stte Mchine), jiné znčení FSA (Finite Stte Automton) ABMIS Mikroprocesory pro výkonové systémy

44 MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Logické ovody - kominční Booleov lger, ormy popisu Příkldy návrhu KONEC České vysoké učení technické Fkult elektrotechnická ABMIS Mikroprocesory pro výkonové systémy

Struktura a architektura počítačů

Struktura a architektura počítačů Struktur rchitektur očítčů Logické ovody - kominční Booleov lger, ormy oisu Příkldy návrhu České vysoké učení technické Fkult elektrotechnická Ver.. J. Zděnek/M. Chomát Logický kominční ovod Logický kominční

Více

Logické obvody. Logický obvod. Rozdělení logických obvodů - Kombinační logické obvody. - Sekvenční logické obvody

Logické obvody. Logický obvod. Rozdělení logických obvodů - Kombinační logické obvody. - Sekvenční logické obvody Logické ovody Cílem této kpitoly je sezn{mit se s logickými ovody, se z{kldním rozdělením logických ovodů, s jejich některými typy. Tké se nučíme nvrhovt logické ovody. Klíčové pojmy: Logický ovod,kominční

Více

Doc. Ing. Vlastimil Jáneš, CSc., K620

Doc. Ing. Vlastimil Jáneš, CSc., K620 Hrdwre počítčů Doc. Ing. Vlstimil Jáneš, CSc., K620 e-mil: jnes@fd.cvut.cz K508, 5. ptro, lbortoř, 2 2435 9555 Ing. Vít Fáber, K614 e-mil: fber@fd.cvut.cz K508, 5. ptro, lbortoř, 2 2435 9555 Informce mteriály

Více

VY_32_INOVACE_CTE-2.MA-15_Sčítačky (poloviční; úplná) Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl

VY_32_INOVACE_CTE-2.MA-15_Sčítačky (poloviční; úplná) Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Číslo projektu Číslo mteriálu Z..07/.5.00/34.058 VY_32_INOVAE_TE-2.MA5_čítčky (poloviční; úplná) Název školy Autor Temtická olst Ročník třední odorná škol třední odorné učiliště, Duno Ing. Miroslv Krýdl

Více

íslicová technika Radek Maík Maík Radek 1

íslicová technika Radek Maík Maík Radek 1 íslicová technik Rdek Mík Mík Rdek 1 íselné soustvy ritmetické operce Mík Rdek 2 Pevody mezi soustvmi (z10) Výsledek dostneme vyíslením z-dickéhoz dickéhoísl ve tvru dy. (101,11) 2 = 1.2 2 + 0.2 1 + 1.2

Více

Technická kybernetika. Obsah

Technická kybernetika. Obsah 28.02.207 Akemiký rok 206/207 Připrvil: Rim Frn Tehniká kyernetik Logiké řízení 2 Osh Logiké řízení. Booleov lger. Zání logiké funke. Syntéz knonikého tvru kominční logiké funke. Sestvení logiké funke

Více

Půjdu do kina Bude pršet Zajímavý film. Jedině poslední řádek tabulky vyhovuje splnění podmínky úvodního tvrzení.

Půjdu do kina Bude pršet Zajímavý film. Jedině poslední řádek tabulky vyhovuje splnění podmínky úvodního tvrzení. 4. Booleov lger Booleov lger yl nvržen v polovině 9. století mtemtikem Georgem Boolem, tehdy nikoliv k návrhu digitálníh ovodů, nýrž jko mtemtikou disiplínu k formuli logikého myšlení. Jko příkld použijeme

Více

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1.

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1. Y36SAP 26.2.27 Y36SAP-2 Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka 27-Kubátová Y36SAP-Logické obvody Logický obvod Vstupy a výstupy nabývají pouze hodnot nebo Kombinační obvod popsán

Více

LOGICKÉ OBVODY 2 kombinační obvody, minimalizace

LOGICKÉ OBVODY 2 kombinační obvody, minimalizace LOGICKÉ OBVODY 2 kombinační obvody, minimalizace logické obvody kombinační logické funkce a jejich reprezentace formy popisu tabulka, n-rozměrné krychle algebraický zápis mapy 9..28 Logické obvody - 2

Více

Návrh základních kombinačních obvodů: dekodér, enkodér, multiplexor, demultiplexor

Návrh základních kombinačních obvodů: dekodér, enkodér, multiplexor, demultiplexor Předmět Ústv Úloh č. 2 BDIO - Digitální obvody Ústv mikroelektroniky Návrh zákldních kombinčních obvodů: dekodér, enkodér, multiplexor, demultiplexor Student Cíle Porozumění logickým obvodům typu dekodér,

Více

Automaty a gramatiky(bi-aag)

Automaty a gramatiky(bi-aag) BI-AAG (2011/2012) J. Holu: 3. Operce s konečnými utomty p. 2/33 Převod NKA ndka BI-AAG (2011/2012) J. Holu: 3. Operce s konečnými utomty p. 4/33 Automty grmtiky(bi-aag) 3. Operce s konečnými utomty Jn

Více

Logické proměnné a logické funkce

Logické proměnné a logické funkce Booleova algebra Logické proměnné a logické funkce Logická proměnná je veličina, která může nabývat pouze dvou hodnot, označených 0 a I (tedy dvojková proměnná) a nemůže se spojitě měnit Logická funkce

Více

12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace.

12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace. 12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace. Logická proměnná - proměnná nesoucí logickou hodnotu Logická funkce - funkce přiřazující

Více

Booleova algebra. ZákonyBooleovy algebry Vyjádření logických funkcí

Booleova algebra. ZákonyBooleovy algebry Vyjádření logických funkcí Booleova algebra ZákonyBooleovy algebry Vyjádření logických funkcí pravdivostní tabulka logický výraz seznam indexů vstupních písmen mapa vícerozměrná krychle 30-1-13 O. Novák 1 Booleova algebra Booleova

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktur rchtektur počítčů Čsování klopných ovodů Logcké komnční ovod (lok) používné v číslcovém počítč České vsoké učení techncké Fkult elektrotechncká Ver..3 J. Zděnek / M. Chomát 24 Čsování výpočet

Více

DIGITÁLNÍ UČEBNÍ MATERIÁL. Název školy SOUpotravinářské, Jílové u Prahy, Šenflukova 220. Název materiálu VY_32_INOVACE / Matematika / 03/01 / 17

DIGITÁLNÍ UČEBNÍ MATERIÁL. Název školy SOUpotravinářské, Jílové u Prahy, Šenflukova 220. Název materiálu VY_32_INOVACE / Matematika / 03/01 / 17 DIGITÁLNÍ UČEBNÍ MATERIÁL Číslo projektu CZ07/500/4076 Název školy SOUpotrvinářské, Jílové u Prhy, Šenflukov 0 Název mteriálu VY INOVACE / Mtemtik / 0/0 / 7 Autor Ing Antonín Kučer Oor; předmět, ročník

Více

Je regulární? Pokud ne, na regulární ji upravte. V původní a nové gramatice odvod te řetěz 1111.

Je regulární? Pokud ne, na regulární ji upravte. V původní a nové gramatice odvod te řetěz 1111. Grmtiky. Vytvořte grmtiku generující množinu řetězů { n m } pro n, m N {} tková, že n m. Pomocí této grmtiky derivujte řetezy,. 2. Grmtik je dán prvidly S ɛ S A A S B B A B. Je regulární? Pokud ne, n regulární

Více

Booleova algebra. Logická proměnná. Booleova algebra

Booleova algebra. Logická proměnná. Booleova algebra Booleov lger Cílem této kpitoly je seznámit se se zákldy Booleovy logické lgery, která je mtemtickou disciplínou tvoří teoretický prostředek pro návrh logických ovodů. Klíčové pojmy: Logická proměnná,

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

2. LOGICKÉ OBVODY. Kombinační logické obvody

2. LOGICKÉ OBVODY. Kombinační logické obvody Hardware počítačů Doc.Ing. Vlastimil Jáneš, CSc, K620, FD ČVUT E-mail: janes@fd.cvut.cz Informace a materiály ke stažení na WWW: http://www.fd.cvut.cz/personal/janes/hwpocitacu/hw.html 2. LOGICKÉ OBVODY

Více

P4 LOGICKÉ OBVODY. I. Kombinační Logické obvody

P4 LOGICKÉ OBVODY. I. Kombinační Logické obvody P4 LOGICKÉ OBVODY I. Kombinační Logické obvody I. a) Základy logiky Zákony Booleovy algebry 1. Komutativní zákon duální forma a + b = b + a a. b = b. a 2. Asociativní zákon (a + b) + c = a + (b + c) (a.

Více

m n. Matice typu m n má

m n. Matice typu m n má MATE ZS KONZ B Mtice, hodnost mtice, Gussův tvr Mtice uspořádné schém reálných čísel: m m n n mn Toto schém se nzývá mtice typu m řádků n sloupců. m n. Mtice typu m n má Oznčujeme ji A, B,někdy používáme

Více

LOGICKÉ OBVODY X36LOB

LOGICKÉ OBVODY X36LOB LOGICKÉ OBVODY X36LOB Doc. Ing. Hana Kubátová, CSc. Katedra počítačů FEL ČVUT v Praze 26.9.2008 Logické obvody - 1 - Úvod 1 Obsah a cíle předmětu Číslicový návrh (digital design) Číslicové obvody logické

Více

Formální jazyky. Z. Sawa (VŠB-TUO) Úvod do teoretické informatiky 7. března / 46

Formální jazyky. Z. Sawa (VŠB-TUO) Úvod do teoretické informatiky 7. března / 46 Formální jzyky Z. Sw (VŠB-TUO) Úvod do teoretické informtiky 7. řezn 2012 1/ 46 Teorie formálních jzyků motivce Příkldy typů prolémů, při jejichž řešení se využívá pozntků z teorie formálních jzyků: Tvor

Více

MATA Př 2. Složené výroky: Jsou dány výroky: a: Číslo 5 je prvočíslo. b: Číslo 5 je sudé. c: Číslo 5 je liché. d: Číslo 5 je záporné.

MATA Př 2. Složené výroky: Jsou dány výroky: a: Číslo 5 je prvočíslo. b: Číslo 5 je sudé. c: Číslo 5 je liché. d: Číslo 5 je záporné. MATA Př 2 Složené výroky: Jsou dány výroky: : Číslo 5 je prvočíslo. : Číslo 5 je sudé. c: Číslo 5 je liché. d: Číslo 5 je záporné. Konjunkce disjunkce Konjunkce liovolných výroků, je výrok, který vznikne

Více

Zavedení a vlastnosti reálných čísel PŘIROZENÁ, CELÁ A RACIONÁLNÍ ČÍSLA

Zavedení a vlastnosti reálných čísel PŘIROZENÁ, CELÁ A RACIONÁLNÍ ČÍSLA Zvedení vlstnosti reálných čísel Reálná čísl jsou zákldním kmenem mtemtické nlýzy. Konstrukce reálných čísel sice není náplní mtemtické nlýzy, le množin reálných čísel R je pro mtemtickou nlýzu zákldním

Více

Automaty a gramatiky. Úvod do formáln. lních gramatik. Roman Barták, KTIML. Příklady gramatik

Automaty a gramatiky. Úvod do formáln. lních gramatik. Roman Barták, KTIML. Příklady gramatik Úvod do formáln lních grmtik Automty grmtiky Romn Brták, KTIML rtk@ktiml.mff.cuni.cz http://ktiml.mff.cuni.cz/~rtk Grmtiky, všichni je známe, le co to je? Popis jzyk pomocí prvidel, podle kterých se vytvářejí

Více

3 Algebraické výrazy. 3.1 Mnohočleny Mnohočleny jsou zvláštním případem výrazů. Mnohočlen (polynom) proměnné je výraz tvaru

3 Algebraické výrazy. 3.1 Mnohočleny Mnohočleny jsou zvláštním případem výrazů. Mnohočlen (polynom) proměnné je výraz tvaru Algerické výrz V knize přírod může číst jen ten, kdo zná jzk, ve kterém je npsán. Jejím jzkem je mtemtik jejím písmem jsou mtemtické vzorce. (Glileo Glilei) Algerickým výrzem rozumíme zápis, ve kterém

Více

Automaty a gramatiky

Automaty a gramatiky Automty grmtiky Romn Brták, KTIML rtk@ktiml.mff.cuni.cz http://ktiml.mff.cuni.cz/~rtk Úvod do formálních grmtik Grmtiky, všichni je známe, le co to je? Popis jzyk pomocí prvidel, podle kterých se vytvářejí

Více

H - Řízení technologického procesu logickými obvody

H - Řízení technologického procesu logickými obvody H - Řízní tchnologického procsu logickými ovody (Logické řízní) Tortický úvod Součástí řízní tchnologických procsů j i zjištění správné posloupnosti úkonů tchnologických oprcí rozhodování o dlším postupu

Více

Technická kybernetika. Obsah. Realizace kombinačních logických obvodů.

Technická kybernetika. Obsah. Realizace kombinačních logických obvodů. 08.03.207 Akemiký rok 206/207 řiprvil: Rim Frn Tehniká kernetik Relize kominčníh logikýh ovoů 2 Osh Relize kominčníh logikýh ovoů. Kontktní shémt. Bloková shémt. rogrmovtelné logiké utomt. říkl sntéz kominčního

Více

DIGITÁLN LNÍ OBVODY A MIKROPROCESORY 1. ZÁKLADNÍ POJMY DIGITÁLNÍ TECHNIKY

DIGITÁLN LNÍ OBVODY A MIKROPROCESORY 1. ZÁKLADNÍ POJMY DIGITÁLNÍ TECHNIKY DIGITÁLN LNÍ OBVODY A MIKROPROCESORY BDOM Prof. Ing. Radimír Vrba, CSc. Doc. Ing. Pavel Legát, CSc. Ing. Radek Kuchta Ing. Břetislav Mikel Ústav mikroelektroniky FEKT VUT @feec.vutbr.cz

Více

METODICKÝ NÁVOD MODULU

METODICKÝ NÁVOD MODULU Centrum celoživotního vzdělávání METODICKÝ NÁVOD MODULU Název modulu: Zákldy mtemtiky Zkrtk: ZM Počet kreditů: Semestr: Z/L Mentor: Petr Dolnský Tutor: Petr Dolnský I OBSAH BALÍČKU STUDIJNÍCH OPOR: ) Skriptum:

Více

Obsah DÍL 1. Předmluva 11

Obsah DÍL 1. Předmluva 11 DÍL 1 Předmluva 11 KAPITOLA 1 1 Minulost a současnost automatizace 13 1.1 Vybrané základní pojmy 14 1.2 Účel a důvody automatizace 21 1.3 Automatizace a kybernetika 23 Kontrolní otázky 25 Literatura 26

Více

Minimalizace automatů. M. Kot, Z. Sawa (VŠB-TU Ostrava) Úvod do teoretické informatiky 28. března / 31

Minimalizace automatů. M. Kot, Z. Sawa (VŠB-TU Ostrava) Úvod do teoretické informatiky 28. března / 31 Minimlizce utomtů M. Kot, Z. Sw (VŠB-TU Ostrv) Úvod do teoretické informtiky 28. řezn 2007 1/ 31 Ekvivlence utomtů 1 2 3 1 2 3 1 2 Všechny 3 utomty přijímjí jzyk všech slov se sudým počtem -ček Nejvýhodnějšíjepronásposledníznich-mánejméněstvů

Více

ANALYTICKÁ GEOMETRIE V PROSTORU

ANALYTICKÁ GEOMETRIE V PROSTORU ANALYTICKÁ GEOMETRIE V PROSTORU 3. přednášk Vektorová lger Prvoúhlé souřdnice odu v prostoru Poloh odu v prostoru je vzhledem ke třem osám k soě kolmým určen třemi souřdnicemi, které tvoří uspořádnou trojici

Více

Minimalizace logické funkce

Minimalizace logické funkce VYSOKÉ UČENÍ TEHNIKÉ V RNĚ FKULT ELEKTROTEHNIKY KOMUNIKČNÍH TEHNOLOGIÍ Ústav mikroelektroniky LORTORNÍ VIČENÍ Z PŘEDMĚTU Digitální integrované obvody Minimalizace logické funkce Michal Krajíček Martin

Více

VÝUKOVÝ MATERIÁL. Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632 Číslo projektu

VÝUKOVÝ MATERIÁL. Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632 Číslo projektu VÝUKOVÝ MATERIÁL Identifikační údaje školy Vyšší odborná škola a Střední škola, Varnsdorf, příspěvková organizace Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632

Více

6. Setrvačný kmitový člen 2. řádu

6. Setrvačný kmitový člen 2. řádu 6. Setrvčný kmitový člen. řádu Nejprve uvedeme dynmické vlstnosti kmitvého členu neboli setrvčného členu. řádu. Předstviteli těchto členů jsou obvody nebo technická zřízení, která obshují dvě energetické

Více

Otázka 10 - Y36SAP. Zadání. Logické obvody. Slovníček pojmů. Základní logické členy (hradla)

Otázka 10 - Y36SAP. Zadání. Logické obvody. Slovníček pojmů. Základní logické členy (hradla) Otázka 10 - Y36SAP Zadání Logické obvody. Logické funkce, formy jejich popisu. Kombinační obvody a jejich návrh. Sekvenční systém jako konečný automat. Synchronní a asynchronní sekvenční obvody a jejich

Více

Booleovská algebra. Booleovské binární a unární funkce. Základní zákony.

Booleovská algebra. Booleovské binární a unární funkce. Základní zákony. Booleovská algebra. Booleovské binární a unární funkce. Základní zákony. Tomáš Bayer bayertom@natur.cuni.cz Katedra aplikované geoinformatiky a kartografie, Přírodovědecká fakulta UK. Tomáš Bayer bayertom@natur.cuni.cz

Více

( ) 2 2 2 ( ) 3 3 2 2 3. Výrazy Výraz je druh matematického zápisu, který obsahuje konstanty, proměnné, symboly matematických operací, závorky.

( ) 2 2 2 ( ) 3 3 2 2 3. Výrazy Výraz je druh matematického zápisu, který obsahuje konstanty, proměnné, symboly matematických operací, závorky. Výrzy Výrz je druh mtemtického zápisu, který obshuje konstnty, proměnné, symboly mtemtických opercí, závorky. Příkldy výrzů: + výrz obshuje pouze konstnty číselný výrz x výrz obshuje konstntu ( proměnnou

Více

Základní pojmy: Číselné obory a vztahy mezi nimi Zákony pro počítání s číselnými množinami

Základní pojmy: Číselné obory a vztahy mezi nimi Zákony pro počítání s číselnými množinami / Zákldní pojmy: Číselné obory vzthy mezi nimi ČÍSELNÉ MNOŽINY Zákony pro počítání s číselnými množinmi. Přirozená čísl vyjdřují počet prvků množiny N. Celá čísl změn počtu prvků dné množiny, přírůstky

Více

Projekt realizovaný na SPŠ Nové Město nad Metují. s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje

Projekt realizovaný na SPŠ Nové Město nad Metují. s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje Projekt realizovaný na SPŠ Nové Město nad Metují s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje Modul 03 Technické předměty Ing. Otakar Maixner 1 Blokové

Více

celek jsme rozdělili na 8 dílů, ale žádný jsme si nevzali celek na nulka dílů rozdělit nelze!!!

celek jsme rozdělili na 8 dílů, ale žádný jsme si nevzali celek na nulka dílů rozdělit nelze!!! . Dělení celku zlomek 0 zlomek zlomková čár čittel udává z kolik stejných částí se zlomek skládá ( z ) jmenovtel udává n kolik stejných částí je celek rozdělen () Vlstnosti: Je-li v čitteli zlomku nul

Více

Převody Regulárních Výrazů. Minimalizace Konečných. Regulární jazyky 2 p.1/35

Převody Regulárních Výrazů. Minimalizace Konečných. Regulární jazyky 2 p.1/35 Převody Regulárních Výrzů Minimlizce Konečných Automtů Regulární jzyky 2 p.1/35 Kleeneho lger Definice 2.1 Kleeneho lger sestává z neprázdné množiny se dvěm význčnými konstntmi 0 1, dvěm inárními opercemi

Více

Matematická logika. Rostislav Horčík. horcik

Matematická logika. Rostislav Horčík.  horcik Matematická logika Rostislav Horčík horcik@math.feld.cvut.cz horcik@cs.cas.cz www.cs.cas.cz/ horcik Rostislav Horčík (ČVUT FEL) Y01MLO Letní semestr 2007/2008 1 / 15 Sémantická věta o dedukci Věta Pro

Více

Regulace v ES na výroby

Regulace v ES na výroby Regulce v ES n výroy Regulce v ES n strně výroy Regulce v ES n strně výroy Sttická chrkteristik Regulce v ES n strně výroy regulce více G Regulce v ES n strně výroy korektor frekvence rimární Regulce Úkol

Více

Lineární nerovnice a jejich soustavy

Lineární nerovnice a jejich soustavy teorie řešené úlohy cvičení tipy k mturitě výsledky Lineární nerovnice jejich soustvy Víš, že pojem nerovnice není opkem pojmu rovnice? lineární rovnice má většinou jediné řešení, kdežto lineární nerovnice

Více

Binární logika Osnova kurzu

Binární logika Osnova kurzu Osnova kurzu 1) Základní pojmy; algoritmizace úlohy 2) Teorie logického řízení 3) Fuzzy logika 4) Algebra blokových schémat 5) Vlastnosti členů regulačních obvodů 6) Vlastnosti regulátorů 7) Stabilita

Více

56. ročník Matematické olympiády. b 1,2 = 27 ± c 2 25

56. ročník Matematické olympiády. b 1,2 = 27 ± c 2 25 56. ročník Mtemtické olympiády Úlohy domácí části I. kol ktegorie 1. Njděte všechny dvojice (, ) celých čísel, jež vyhovují rovnici + 7 + 6 + 5 + 4 + = 0. Řešení. Rovnici řešíme jko kvdrtickou s neznámou

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Opakování. České vysoké učení technické Fakulta elektrotechnická

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Opakování. České vysoké učení technické Fakulta elektrotechnická MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Opakování České vysoké učení technické Fakulta elektrotechnická AB4MIS Mikroprocesory pro výkonové systémy Ver..4 J. Zděnek, 27 MOS transistory jako elektrické spínače

Více

SYLABUS MODULU UPLATNĚNÍ NA TRHU PRÁCE DÍLČÍ ČÁST II BAKALÁŘSKÝ SEMINÁŘ + PŘÍPRAVA NA PRAXI. František Prášek

SYLABUS MODULU UPLATNĚNÍ NA TRHU PRÁCE DÍLČÍ ČÁST II BAKALÁŘSKÝ SEMINÁŘ + PŘÍPRAVA NA PRAXI. František Prášek SYLABUS MODULU UPLATNĚNÍ NA TRHU PRÁCE DÍLČÍ ČÁST II BAKALÁŘSKÝ SEMINÁŘ + PŘÍPRAVA NA PRAXI Frntišek Prášek Ostrv 011 1 : Sylbus modulu Upltnění n trhu práce, dílčí část II Bklářská práce + příprv n prxi

Více

( a) Okolí bodu

( a) Okolí bodu 0..5 Okolí bodu Předpokldy: 40 Pedgogická poznámk: Hodin zjevně překrčuje možnosti většiny studentů v 45 minutách. Myslím, že nemá cenu přethovt do dlší hodiny, příkldy s redukovnými okolími nejsou nutné,

Více

1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD

1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD .. Minimalizace logické funkce a implementace do cílového programovatelného obvodu Zadání. Navrhněte obvod realizující neminimalizovanou funkci (úplný term) pomocí hradel AND, OR a invertorů. Zaznamenejte

Více

Evropská unie Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropská unie Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropská unie Evropský soiální fon Prh & EU: Investujeme o vší uounosti ávrh čítče jko utomtu Osh ÁVRH ČÍAČE JAKO AUOMAU.... SYCHROÍ A ASYCHROÍ AUOMA..... Výstupy utomtu mohou ýt přímo ity pměti stvu.....

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktura a archtektura počítačů Logcké obvody - sekvenční Formy popsu, konečný automat Příklady návrhu České vysoké učení techncké Fakulta elektrotechncká Ver..2 J. Zděnek 24 Logcký sekvenční obvod Logcký

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

Velmi zjednodušený úvod

Velmi zjednodušený úvod Velmi zjednodušený úvod Výroková logika: A, B, C - výroky. Booleova algebra Výroky nabývají hodnot Pravdivý a Nepravdivý. C = A B A B Booleova algebra: a, b, c - logické (Booleovské) proměnné. Logické

Více

Základy číslicové techniky z, zk

Základy číslicové techniky z, zk Základy číslicové techniky 2 + 1 z, zk Doc. Ing. Vlastimil Jáneš, CSc., K620 e-mail: janes@fd.cvut.cz K508, 5. patro, laboratoř, 2 2435 9555 Ing. Vít Fábera, K614 e-mail: fabera@fd.cvut.cz K508, 5. patro,

Více

Výroková logika - opakování

Výroková logika - opakování - opakování ormální zavedení Výroková formule: Máme neprázdnou nejvýše spočetnou množinu A výrokových proměnných. 1. Každá proměnná je výroková formule 2. Když α, β jsou formule, potom ( α), (α β), (α

Více

MULTIDIMENSIONÁLNÍ JAZYKY A JEJICH AUTOMATY MULTI-DIMENSIONAL LANGUAGES AND THEIR AUTOMATA

MULTIDIMENSIONÁLNÍ JAZYKY A JEJICH AUTOMATY MULTI-DIMENSIONAL LANGUAGES AND THEIR AUTOMATA VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA INFORMAČNÍCH TECHNOLOGIÍ ÚSTAV INFORMAČNÍCH SYSTÉMŮ FACULTY OF INFORMATION TECHNOLOGY DEPARTMENT OF INFORMATION SYSTEMS MULTIDIMENSIONÁLNÍ

Více

UC485S. PŘEVODNÍK LINKY RS232 na RS485 nebo RS422 S GALVANICKÝM ODDĚLENÍM. Převodník UC485S RS232 RS485 RS422 K1. přepínače +8-12V GND GND TXD RXD DIR

UC485S. PŘEVODNÍK LINKY RS232 na RS485 nebo RS422 S GALVANICKÝM ODDĚLENÍM. Převodník UC485S RS232 RS485 RS422 K1. přepínače +8-12V GND GND TXD RXD DIR PŘEVODNÍK LINKY RS232 n RS485 neo RS422 S GALVANICKÝM ODDĚLENÍM 15 kv ESD Protected IEC-1000-4-2 Převodník přepínče RS232 RS485 RS422 K1 ' K2 +8-12V GND GND TXD RXD DIR PAPOUCH 1 + gnd Ppouch s.r.o. POPIS

Více

Logické řízení. Náplň výuky

Logické řízení. Náplň výuky Logické řízení Logické řízení Náplň výuky Historie Logické funkce Booleova algebra Vyjádření Booleových funkcí Minimalizace logických funkcí Logické řídicí obvody Blokové schéma Historie Číslicová technika

Více

13. Exponenciální a logaritmická funkce

13. Exponenciální a logaritmická funkce @11 1. Eponenciální logritmická funkce Mocninná funkce je pro r libovolné nenulové reálné číslo dán předpisem f: y = r, r R, >0 Eponent r je konstnt je nezávisle proměnná. Definičním oborem jsou pouze

Více

DUM 02 téma: Elementární prvky logiky výklad

DUM 02 téma: Elementární prvky logiky výklad DUM 02 téma: Elementární prvky logiky výklad ze sady: 01 Logické obvody ze šablony: 01 Automatizační technika I Určeno pro 3. ročník vzdělávací obor: 26-41-M/01 Elektrotechnika ŠVP automatizační technika

Více

Kapitola 1. Formální jazyky. 1.1 Formální abeceda a jazyk. Cíle kapitoly: Cíle této části: Klíčová slova: abeceda, slovo, jazyk, operace na jazycích

Kapitola 1. Formální jazyky. 1.1 Formální abeceda a jazyk. Cíle kapitoly: Cíle této části: Klíčová slova: abeceda, slovo, jazyk, operace na jazycích Kpitol 1 Formální jzyky Cíle kpitoly: Po prostudování kpitoly máte plně rozumět pojmům jko(formální) beced, slovo, jzyk, operce n slovech jzycích; máte zvládt práci s těmito pojmy n prktických příkldech.

Více

Automaty a gramatiky. Organizační záležitosti. Přednáška: na webu (http://ktiml.mff.cuni.cz/~bartak/automaty) Proč chodit na přednášku?

Automaty a gramatiky. Organizační záležitosti. Přednáška: na webu (http://ktiml.mff.cuni.cz/~bartak/automaty) Proč chodit na přednášku? Orgnizční záležitosti Atomty grmtiky Romn Brták, KTIML rtk@ktiml.mff.cni.cz http://ktiml.mff.cni.cz/~rtk Přednášk: n we (http://ktiml.mff.cni.cz/~rtk/tomty) Proč chodit n přednášk? dozvíte se více než

Více

Booleovská algebra. Pravdivostní tabulka. Karnaughova mapa. Booleovské n-krychle. Základní zákony. Unární a binární funkce. Podmínky.

Booleovská algebra. Pravdivostní tabulka. Karnaughova mapa. Booleovské n-krychle. Základní zákony. Unární a binární funkce. Podmínky. Booleovská algebra. Pravdivostní tabulka. Karnaughova mapa. Booleovské n-krychle. Základní zákony. Unární a binární funkce. Podmínky. Tomáš Bayer bayertom@natur.cuni.cz Katedra aplikované geoinformatiky

Více

2.1 - ( ) ( ) (020201) [ ] [ ]

2.1 - ( ) ( ) (020201) [ ] [ ] - FUNKCE A ROVNICE Následující zákldní znlosti je nezbytně nutné umět od okmžiku probrání ž do konce studi mtemtiky n gymnáziu. Vyždováno bude porozumění schopnost plikovt ne pouze mechnicky zopkovt. Některé

Více

Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO

Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO Garant předmětu:

Více

KVADRATICKÁ FUNKCE (vlastnosti, grafy)

KVADRATICKÁ FUNKCE (vlastnosti, grafy) KVADRATICKÁ FUNKCE (vlstnosti, gr) Teorie Kvdrtikou unkí se nzývá kždá unke dná předpisem ; R,, R; D( ) je proměnná z příslušného deiničního ooru unke (nejčstěji množin R),, jsou koeiient kvdrtiké unke,

Více

Základy číslicové techniky. 2 + 1 z, zk

Základy číslicové techniky. 2 + 1 z, zk Základy číslicové techniky 2 + 1 z, zk Ing. Vít Fábera, K614 e-mail: fabera@fd.cvut.cz K508, 5. patro, laboratoř, 2 2435 9555 Ing. Tomáš Musil, Ph.D., K620 e-mail: musil@asix.cz K508, 5. patro, laboratoř,

Více

Definice. Necht M = (Q, T, δ, q 0, F ) je konečný automat. Dvojici (q, w) Q T nazveme konfigurací konečného automatu M.

Definice. Necht M = (Q, T, δ, q 0, F ) je konečný automat. Dvojici (q, w) Q T nazveme konfigurací konečného automatu M. BI-AAG (20/202) J. Holu: 2. Deterministické nedeterministické konečné utomty p. 2/3 Konfigurce konečného utomtu BI-AAG (20/202) J. Holu: 2. Deterministické nedeterministické konečné utomty p. 4/3 Automty

Více

Způsoby realizace této funkce:

Způsoby realizace této funkce: KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je výstup určen jen výhradně kombinací vstupních veličin. Hodnoty výstupních veličin nezávisejí na předcházejícím stavu logického obvodu, což znamená, že kombinační

Více

Disjunktivní a konjunktivní lní tvar formule. 2.přednáška

Disjunktivní a konjunktivní lní tvar formule. 2.přednáška Disjunktivní a konjunktivní normáln lní tvar formule 2.přednáška Disjunktivní normáln lní forma Definice Řekneme, že formule ( A ) je v disjunktivním normálním tvaru (formě), zkráceně v DNF, jestliže je

Více

Logaritmická funkce, logaritmus, logaritmická rovnice

Logaritmická funkce, logaritmus, logaritmická rovnice Logritmická funkce. 4 Logritmická funkce, ritmus, ritmická rovnice - získá se jko funkce inverzní k funkci eponenciální, má tvr f: = Pltí: > 0!! * * = = musí být > 0, > 0 Rozlišujeme dv zákldní tp: ) >

Více

2. ÚVOD DO OVLÁDACÍ TECHNIKY

2. ÚVOD DO OVLÁDACÍ TECHNIKY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 2. ÚVOD DO OVLÁDACÍ TECHNIKY OVLÁDACÍ TECHNIKA A LOGICKÉ ŘÍZENÍ 2.1.5 LOGICKÉ FUNKCE Cíle: Po prostudování

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více

1 Logické řízení (prof. Ing. Jiří Tůma, CSc.)

1 Logické řízení (prof. Ing. Jiří Tůma, CSc.) Logiké řízení Logiké řízení (prof. Ing. Jiří Tům, CS.) Tento způso řízení je zložen n vou stveh ovláného prvku voustvové informi o řízené soustvě. Prktiké oznčení těhto stvů je násleujíí: zpnuto / vpnuto,

Více

Neuronové sítě Minimalizace disjunktivní normální formy

Neuronové sítě Minimalizace disjunktivní normální formy Neuronové sítě Minimalizace disjunktivní normální formy Zápis logické funkce Logická funkce f : {0, 1} n {0, 1} Zápis základní součtový tvar disjunktivní normální forma (DNF) základní součinový tvar konjunktivní

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA INFORMAČNÍCH TECHNOLOGIÍ ÚSTAV POČÍTAČOVÝCH SYSTÉMŮ FACULTY OF INFORMATION TECHNOLOGY DEPARTMENT OF COMPUTER SYSTEMS JEDNODUCHÝ SIMULÁTOR

Více

Úvod do Teoretické Informatiky (456-511 UTI)

Úvod do Teoretické Informatiky (456-511 UTI) Úvod do Teoretické Informtiky (456-511 UTI) Doc. RNDr. Petr Hliněný, Ph.D. petr.hlineny@vs.cz 25. ledn 2006 Verze 1.02. Copyright c 2004 2006 Petr Hliněný. (S využitím části mteriálů c Petr Jnčr.) Osh

Více

Teorie jazyků a automatů I

Teorie jazyků a automatů I Šárk Vvrečková Teorie jzyků utomtů I Sírk úloh pro cvičení Ústv informtiky Filozoficko-přírodovědecká fkult v Opvě Slezská univerzit v Opvě Opv, poslední ktulizce 5. květn 205 Anotce: Tto skript jsou určen

Více

Úplný systém m logických spojek. 3.přednáška

Úplný systém m logických spojek. 3.přednáška Úplný sstém m logických spojek 3.přednáška Definice Úplný sstém m logických spojek Řekneme, že množina logických spojek S tvoří úplný sstém logických spojek, jestliže pro každou formuli A eistuje formule

Více

6. Zobrazení δ: (a) δ(q 0, x) obsahuje x i, x i Z. (b) δ(x i, y) obsahuje y j, x i y j P 7. Množina F je množinou koncových stavů.

6. Zobrazení δ: (a) δ(q 0, x) obsahuje x i, x i Z. (b) δ(x i, y) obsahuje y j, x i y j P 7. Množina F je množinou koncových stavů. Vzth mezi reg. výrzy kon. utomty Automty grmtiky(bi-aag) 7. Převody mezi reg. grm., reg. výrzy kon. utomty Jn Holu Algoritmus (okrčování): 6. Zorzení δ: () δ(, x) oshuje x i, x i Z. () δ(x i, y) oshuje

Více

Souhrn základních výpočetních postupů v Excelu probíraných v AVT 04-05 listopad 2004. r r. . b = A

Souhrn základních výpočetních postupů v Excelu probíraných v AVT 04-05 listopad 2004. r r. . b = A Souhrn zákldních výpočetních postupů v Ecelu probírných v AVT 04-05 listopd 2004. Řešení soustv lineárních rovnic Soustv lineárních rovnic ve tvru r r A. = b tj. npř. pro 3 rovnice o 3 neznámých 2 3 Hodnoty

Více

Úvod do informačních technologií

Úvod do informačních technologií Úvod do informačních technologií Jan Outrata KATEDRA INFORMATIKY UNIVERZITA PALACKÉHO V OLOMOUCI přednášky Binární logika Jan Outrata (Univerzita Palackého v Olomouci) Úvod do informačních technologií

Více

Výroková a predikátová logika - II

Výroková a predikátová logika - II Výroková a predikátová logika - II Petr Gregor KTIML MFF UK ZS 2017/2018 Petr Gregor (KTIML MFF UK) Výroková a predikátová logika - II ZS 2017/2018 1 / 17 Předběžnosti Základní pojmy n-ární relace a funkce

Více

+ c. n x ( ) ( ) f x dx ln f x c ) a. x x. dx = cotgx + c. A x. A x A arctgx + A x A c

+ c. n x ( ) ( ) f x dx ln f x c ) a. x x. dx = cotgx + c. A x. A x A arctgx + A x A c ) INTEGRÁLNÍ POČET FUNKCE JEDNÉ PROMĚNNÉ ) Pojem neurčitého integrálu Je dán funkce Pltí všk tké F tk, y pltilo F ( ) f ( ) Zřejmě F ( ), protože pltí, 5,, oecně c, kde c je liovolná kon- stnt f ( ) nším

Více

Číslicové obvody základní pojmy

Číslicové obvody základní pojmy Číslicové obvody základní pojmy V číslicové technice se pracuje s fyzikálními veličinami, které lze popsat při určité míře zjednodušení dvěma stavy. Logické stavy binární proměnné nabývají dvou stavů:

Více

Formální systém výrokové logiky

Formální systém výrokové logiky Formální systém výrokové logiky 1.Jazyk výrokové logiky Nechť P = {p,q,r, } je neprázdná množina symbolů, které nazýváme prvotní formule. Symboly jazyka L P výrokové logiky jsou : a) prvky množiny P, b)

Více

ZÁKLADNÍ POZNATKY. p, kde ČÍSELNÉ MNOŽINY (OBORY) N... množina všech přirozených čísel: 1, 2, 3,, n,

ZÁKLADNÍ POZNATKY. p, kde ČÍSELNÉ MNOŽINY (OBORY) N... množina všech přirozených čísel: 1, 2, 3,, n, ZÁKLADNÍ POZNATKY ČÍSELNÉ MNOŽINY (OBORY) N... množin všech přirozených čísel: 1, 2, 3,, n, N0... množin všech celých nezáporných čísel (přirozených čísel s nulou: 0,1, 2, 3,, n, Z... množin všech celých

Více

ě Á Á é é ě ě ě ú é é é ě é é ď ď ď š š Č Á ě ú Á ď š ě Č ě š ěž ě é ě ě ě ě ě ě Č Á ě Á é ú Ž é š ě š š é Ž ě é š é Š ť Ž ě Č Á ú Á Ť é ě é š ě ě š š ď ď Č é š š Č ě ě ú ě ú Ť é ě š ě ě š ě š ě ě ú ě

Více

Domácí telefony DT 93

Domácí telefony DT 93 Domácí telefony DT 93 4FP 110 51-55 4FP 110 73-74 OBSAH: I. Provedení možnosti použití DT93 strn 1 Obr.1 Schém DT 4FP 110 51 DT93 strn 1 Obr.2 Schém DT 4FP 110 52 DT93 strn 1 Obr.3 Schém DT 4FP 110 53

Více

Výpočet vnitřních sil I

Výpočet vnitřních sil I Stvení sttik, 1.ročník klářského studi ýpočet vnitřních sil I přímý nosník, ztížení odové nitřní síly - zákldní pojmy ýpočet vnitřních sil přímého vodorovného nosníku Ktedr stvení mechniky Fkult stvení,

Více

2. INTEGRÁLNÍ POČET FUNKCE JEDNÉ PROMĚNNÉ

2. INTEGRÁLNÍ POČET FUNKCE JEDNÉ PROMĚNNÉ . INTEGRÁLNÍ POČET FUNKE JEDNÉ PROMĚNNÉ Při řešení technických prolémů, ve fyzice pod. je velmi čsto tře řešit orácenou úlohu k derivování. K zdné funkci f udeme hledt funkci F tkovou, y pltilo F f. Budeme

Více

Úvod do informačních technologií

Úvod do informačních technologií Úvod do informačních technologií přednášky Jan Outrata září prosinec 2009 (aktualizace září prosinec 2012) Jan Outrata (KI UP) Úvod do informačních technologií září prosinec 2012 1 / 58 Binární logika

Více

Struktura a architektura počítačů (BI-SAP) 3

Struktura a architektura počítačů (BI-SAP) 3 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 3 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více