VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY

Rozměr: px
Začít zobrazení ze stránky:

Download "VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY"

Transkript

1 VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA INFORMAČNÍCH TECHNOLOGIÍ ÚSTAV POČÍTAČOVÝCH SYSTÉMŮ FACULTY OF INFORMATION TECHNOLOGY DEPARTMENT OF COMPUTER SYSTEMS JEDNODUCHÝ SIMULÁTOR ČÍSLICOVÝCH OBVODŮ BAKALÁŘSKÁ PRÁCE BACHELOR S THESIS AUTOR PRÁCE AUTHOR ALEŠ KOLMAN BRNO 2009

2 VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA INFORMAČNÍCH TECHNOLOGIÍ ÚSTAV POČÍTAČOVÝCH SYSTÉMŮ FACULTY OF INFORMATION TECHNOLOGY DEPARTMENT OF COMPUTER SYSTEMS JEDNOUCHÝ SIMULÁTOR ČÍSLICOVÝCH OBVODŮ NÁZEV BAKALÁŘSKÉ PRÁCE ANGLICKY BAKALÁŘSKÁ PRÁCE BACHELOR S THESIS AUTOR PRÁCE AUTHOR VEDOUCÍ PRÁCE SUPERVISOR ALEŠ KOLMAN doc. Ing. Lukáš Seknin, Ph.D. BRNO 2009

3

4 Astrkt Tto práce je zmřen n simulování číslicových ovodů, především menších kominčních sekvenčních ovodů. Je orientován zejmén n dosžení co nejvyšší rychlosti simulce, z tohoto důvodu yl nimplementován v jzyce C. Vstupním formátem pro tento projekt yl zvolen zápis číslicového ovodu v EDIFu. Výstup neyl specifikován. Astrct This work is oriented on the simultion of digitl circuits, especilly smll comintionl nd sequentil circuits. This project is focused prticulrly on chieving the highest possile speed of simultion, for this reson ws chosen progrmming lnguge C. As Input formt for this project ws selected EDIF formt of digitl circuits. Output hs not een specified. Klíčová slov číslicový ovod, simulátor, EDIF Keywords Digitl circuits, simultor, EDIF Citce Aleš Kolmn: Jednoduchý simulátor číslicových ovodů, klářská práce, Brno, FIT VUT v Brně, 2009

5 Jednoduchý simulátor číslicových ovodů Prohlášení Prohlšuji, že jsem tuto klářskou práci vyprcovl smosttně pod vedením doc. Ing. Lukáše Sekniny Ph. D. Uvedl jsem všechny literární prmeny pulikce, ze kterých jsem čerpl. Aleš Kolmn 20. květn 2009 Poděkování Z odorné vedení, připomínky návrhy ych chtěl poděkovt doc. Ing. Lukáši Sekninovi, Ph. D., který trpělivě dohlížel n průěh zprcování mé klářské práce. Aleš Kolmn, 2009 Tto práce vznikl jko školní dílo n Vysokém učení technickém v Brně, Fkultě informčních technologií. Práce je chráněn utorským zákonem její užití ez udělení oprávnění utorem je nezákonné, s výjimkou zákonem definovných přípdů..

6 Osh Osh Úvod Číslicové ovody Booleov lger Zákldní Axiomy Odvozené vlstnosti Rozdělení číslicových ovodů Kominční ovody Sekvenční ovody Klopné ovody Zákldní komponenty číslicových ovodů Logický součin AND Logický součet OR Logická negce NOT Exkluzivní logický součet XOR Asynchronní klopný ovod typu RS Simulátory simulce Pohled n simulci simulátor oecně Simulce simulátor číslicových ovodů Rozdělení simulátorů dle počtu simulovných stvů Rozdělení dle zohlednění zpoždění EDIF Návrh simulátoru Specifikce zdání Vlstní návrh implementce Návrh dtových struktur Implementce Experimentální ověření simulátoru Příkld s kominčním ovodem Příkld s synchronním sekvenčním ovodem Příkld se synchronním sekvenčním ovodem Příkld s klopným ovodem Závěr

7 1 Úvod V dnešní doě se n trhu vyskytuje veliké množství simulátorů číslicových ovodů npř. modelsim, multisim, dsch simulátor pod. Proč jsem se tedy rozhodl oohtit, už tk dost osáhlý trh o dlší simulátor? Hlvním podnětem yl snh vytvořit simulátor, který y se dl využít především při evolučním návrhu číslicových ovodu ve fázi testování. Cílem projektu ylo vytvořit velice rychlý simulátor specificky směrován n jednodušší, menší, diskrétních systémy, tedy tkový, který y zytečně neoshovl oecné, nepotřené, funkce hlvně tkový, kde ych si mohl kdykoliv eztrestně jednoduše zshovt do zdrojového kódu (měnit simulční lgoritmy, přidávt podporovné komponenty td.. Bklářská práce je strukturován následovně: Druhá kpitol vysvětluje zákldní pojmy z olsti číslicových ovodů. Poté, co se dozvíme, co to jsou číslicové ovody, čím sou chrkteristické, čím výhodné jk se dělí, přejdeme k otázce jejich simulce, kterou se zývá třetí kpitol. Třetí kpitol popisuje nejen simulci číslicových ovodů, le snží se (stejně jko druhá kpitol nejprve definovt zákldní pojmy týkjící se oecné simulce. Poté zmiňuje specifik simulce číslicových ovodů uvádí elektronické formáty používjících se pro popis číslicových ovodů. Následuje čtvrtá kpitol, která popisuje proces návrhu, implementce ověření jednoduchého simulátoru číslicového ovodu. N konec práce je zřzeno zhodnocení dosžených výsledků výpis nápdů možných inovcí či rozšíření pro konečný progrm. 2

8 2 Číslicové ovody Číslicové ovody, diskrétní ovody, jsou podmnožinou elektrických ovodů. Hlvním rysem tkovýchto ovodů je, že reprezentují informci diskrétně, to znmená pouze dvěm hodnotmi. V počítčové terminologii se tké čsto používá oznčení 0, logická nul, 1, logická jedničk. Přínosných vlstností číslicových ovodů je celá řd. Mezi nejevidentnější ych zřdil skutečnost, že v číslicových systémech může ýt representován informce v teoreticky neomezeném rozshu s neomezenou přesností, dále zde přecházejí do pozdní hlvní prolémy nlogových ovodů, jko prolém šumu, rušení, teplotních výkyvů td., tké návrh těchto ovodů je znčně jednodušší, než návrh nlogových ovodů, kde je nutné znát mtemtické modely složitých součástek jko je trnzistor, kondensátor pod. N závěr ych uvedl prgmtickou vlstnost, která vedl k jejich msivnímu využití, kterou je ekonomická výhodnost. V mnoh přípdech dokáží číslicové ovody vyřešit stejně složité prolémy jko ovody nlogové z jednoznčně nižší cenu. Logik číslicových ovodů je odvozen z Booleovy lgery právě Booleově lgeře je věnován následující podkpitol. Číslicové ovody můžeme modelovt různými způsoy: prvdivostní tulkou, Krnughovou mpou či výrzem Booleovy lgery. 2.1 Booleov lger Hned ze zčátku této kpitoly ych rád podotkl, že inspirci pro tuto podkpitolu jsem čerpl především z [3]. Booleov lger je lgerická struktur, nzvná podle irského mtemtik George Boole. Mimo jiné se používá pro popis logických ovodů. Logické výrzy, které popisují logické ovody, oshují hodnoty prvd neprvd, což můžeme chápt jko hodnotu logická 1 hodnotu logická 0. Booleov lger je uspořádná šestice: B symolizuje neprázdnou množinu ( B,0,1,,, 0 symolizuje tzv. nejmenší prvek množiny B 1 symolizuje tzv. největší prvek množiny B symolizuje inární operci n množině B (logický součet symolizuje inární operci n množině B (logický součin - symolizuje unární operce n množině B (negce Ay tto šestice yl Booleovou lgerou, musí splňovt všechny zákldní xiomy (viz následující podkpitol Zákldní Axiomy Pro všechn,, c z množiny B pltí: 1. Komuttivit 3

9 = = 2. Distriutivit ( ( ( ( ( ( c c c c = = 3. Neutrlit 0, 1 = = Komplementrit 0 1 = = 5. Nedegenerovnost Odvozené vlstnosti Pro všechn,, c z množiny B pltí: 6. Asocitivit ( ( ( ( c c c c = = 7. Asorce ( ( = = 8. Asorce negce ( ( = = 9. Agresivit 0, = = 10. Idempotence = = 11. de Morgnovy zákony ( ( = = 12. Dvojitá negce ( = 4

10 2.2 Rozdělení číslicových ovodů U číslicových ovodů se můžeme setkt s děleními ovodů n ovody kominční, sekvenční, synchronní, synchronní, úrovňové hrnové. Následující podkpitoly se zývjí vysvětlením těchto pojmů Kominční ovody Kominční logické ovody jsou specifické tím, že stvy n výstupech závisí pouze n okmžitých komincích vstupních proměnných nezávisí n jejich předchozích hodnotách, s výjimkou krátkého přechodového děje. Jedné kominci vstupních proměnných odpovídá jediná výstupní komince funkčních hodnot. Kominční logické ovody nemjí žádnou pměť předchozích stvů. Pro popis kominčního ovodu se čsto používjí výrzy Booleovy lgery. Ovod s n vstupy m výstupy, které relizují funkce f 1.. f m, popíšeme pomocí m výrzů Booleovy lgery Sekvenční ovody Sekvenční logické ovody jsou složeny ze dvou částí, části kominční části pměťové, t může ýt relizován uďto jednoduchou zpětnou vzou neo klopným ovodem, nejčstěji se pro tyto účely využívá klopný ovod typu D. Aychom mohli určit hodnotu výstupní proměnné, je potře u sekvenčních ovodů sledovt kromě vstupních proměnných ještě jejich vnitřní proměnné, vnitřní stvy. Tyto proměnné, stvy jsou uchovány v pměťových členech. Existence vnitřních proměnných způsouje, že stejné hodnoty vstupních proměnných přivedené n vstup ovodu, nevyvolávjí vždy stejnou odezvu n výstupu ovodu, čili u sekvenčních ovodů záleží n pořdí v jkém vstupní komince přichází. Sekvenční ovody nejčstěji modelujeme pomocí Moorov neo Melyho utomtu. Melyho utomt se vyznčuje tím, že hodnot výstupní proměnné je závislá jk n hodnotách vstupních proměnných, tk n vnitřních proměnných. Oproti tomu Kokrův utomt je hodnot výstupu závislá pouze n stvu vnitřních proměnných. Sekvenční ovody můžeme dále rozdělit n sekvenční ovody synchronní sekvenční ovody synchronní. U synchronních sekvenčních ovodů se změn vstupní proměnné promítne ihned do stvu sekvenčního ovodu. U synchronních sekvenčních ovodů je zveden řídicí synchronizční, respektive hodinový signál. Změn vstupní proměnné se promítne do stvu sekvenčního ovodu, ž při příchodu hodinového signálu. Co když le dojde hned k několik změnám vstupních hodnot ěhem jednoho hodinového pulsu, jk se s tím ovod vypořádá? Existují dvě rekce n tuto situci, jednk sekvenční ovod může sledovt hodnoty vstupních proměnných tím i jejich změny po celou dou trvání hodinového signálu průěžně n ně regovt, pk tkovému sekvenčnímu ovodu říkáme Úrovňový, neo sekvenční ovod může regovt n hodnoty vstupních proměnných jen při příchodu hrny hodinového signálu (náěžná neo sestupná hrn, poté tento ovod spdá do ktegorie hrnových synchronních sekvenčních ovodů Klopné ovody Klopné ovody se mohou ncházet v několik stvech, ze kterých mohou ýt vstupem přepnuty do stvu jiného. Podle počtu stvů způsou přepínní se dělí n následující druhy: 1. Astilní klopný ovod (AKO - nemá žádný stilní stv neustále se přepíná mezi dvěm nestilními stvy. Tento typ ovodu lze použít npříkld jko generátor impulsů. 5

11 2. Monostilní klopný ovod (MKO - má jeden stilní stv, ze kterého je možné jej přepnout do stvu nestilního. Ovod se sám po určité doě přepne zpět do stilního stvu. Tento typ ovodu je možné použít npříkld pro relizci zpoždění. 3. Bistilní klopný ovod (BKO - se může ncházet v dvou stilních stvech, přičemž je možné jej mezi těmito stvy liovolně přepínt. Tento typ ovodu lze použít npříkld jko pměť, neoť ž do přepnutí zůstává v předchozím stilním stvu. Tto skupin je pro číslicové ovody nejvýznmnější, proto uvedu i hlvní předstvitele, mezi které ptří klopné ovody typu RS, JK, D T. Komponent RS je stvením kmenem pro všechny osttní vyjmenovné komponenty, proto klopnému ovodu RS ude ještě věnován vlstní podkpitol. 2.3 Zákldní komponenty číslicových ovodů Stejně jko jsou všechny elektrické ovody složeny z elektrických prvků, součástek, jsou i číslicové ovody tvořeny součástkmi, s tím rozdílem, že u číslicových ovodů je škál součástek znčně specifičtější. Mezi zákldní součástky číslicových ovodů ptří logické členy, hrdl. Zákldní logická hrdl se vyznčují skutečností, že je možné kterékoliv z nich povžovt z určitou logickou funkci v Booleove lgeře y = f,... ( 1, 2 n Mezi zákldní logické členy diskrétních systémů ptří hrdl: NOT, AND, OR, XOR, NAND, NOR, XNOR. Všechny tyto komponenty číslicových ovodů opisují svůj vzor z Booleovy lgery. Logické členy NAND, NOR XNOR jsou pouze negcí členů zákldních, rozhodl jsem se tedy nevěnovt těmto třem členům vlstní podkpitoly. Jk plyne z Booleovy lgery pomocí logických členů AND, OR NOT je možné relizovt liovolný logický ovod tedy i zylá logická hrdl. Dále pltí, že z pomoci pouze hrdel NOT AND, neo NOT OR, lze relizovt jkákoliv hrdl, respektive jkýkoliv číslicový ovod, Tto poslední skutečnost poukzuje n komplementárnost hrdel, respektive logických funkci AND OR. Pro převod výrzu, který oshuje pouze hrdl OR (resp. NAND n výrz, který oshuje pouze hrdl AND (resp. OR se používá následující postup: Nhrzení hrdl OR hrdlem AND - Vycházíme z Booleovského výrzu pro logickou funkci OR, n který použijeme vlstnost dvojité negce, poté výrz uprvíme pomocí de Morgnových prvidel do finálního stvu. ( ( = ( = Nhrzení hrdl AND hrdlem OR - Vyjdeme z Booleovského výrzu pro logickou funkci AND, n který použijeme vlstnost dvojité negce, poté výrz uprvíme pomocí de Morgnových prvidel do finálního stvu. = ( ( = ( Dále následuje popis vyrných komponent, mezi vyrné komponenty jsem zřdil kromě zákldních logických hrdel ještě hrdlo XOR synchronní klopný ovod typu RS, relizovný pomocí hrdel NAND. 6

12 2.3.1 Logický součin AND Výstup nývá hodnoty 1 pouze když mjí všechny vstupy hodnotu 1, jink nývá hodnoty 0, jinými slovy hodnot 0 n kterémkoliv ze vstupů dělá hodnotu 0 n výstupu. Ekvivlent logické funkce y =... Schémtická znčk: 1 2 n Orázek 2.1Schémtická znčk hrdl AND IEC znčení "Americké" znčení Připojením hrdl NOT n výstup hrdl AND získáme logickou funkci NAND, která má tedy nlogickou definici jko logická funkce AND, s jediným rozdílem, že hodnot výstupu je pro všechny komince invertován Logický součet OR Výstup nývá hodnoty 0 pouze když mjí všechny vstupy hodnotu 0, jink nývá hodnoty 1, jinými slovy hodnot 1 n kterémkoliv ze vstupů dělá hodnotu 1 n výstupu. Ekvivlent logické funkce y =... Schémtická znčk: 1 2 n Orázek 2.2 Schémtická znčk hrdl OR IEC znčení "Americké" znčení Připojením hrdl NOT n výstup hrdl OR získáme logickou funkci NOR, která má tedy nlogickou definici jko logická funkce OR, s jediným rozdílem, že hodnot výstupu je pro všechny komince invertován Logická negce NOT Když vstup má hodnotu 0, tk výstup nývá hodnoty 1, nopk, jinými slovy výstup nývá opčné hodnoty než jká je n vstupu Ekvivlent logické funkce y = Schémtická znčk: Orázek 2.3 Schémtická znčk hrdl NOT IEC znčení "Americké" znčení 7

13 2.3.4 Exkluzivní logický součet XOR Výstup nývá hodnoty 1 právě tehdy, když je n vstupech lichý počet hodnot 1, jinými slovy sudý počet hodnot 1 n vstupech dává n výstup hodnotu 0. Ekvivlent logické funkce y =... Schémtická znčk: 1 2 n Orázek 2.4 Schémtická znčk hrdl XOR IEC znčení "Americké" znčení Připojením hrdl NOT n výstup hrdl XOR získáme logickou funkci XNOR, která má tedy nlogickou definici jko logická funkce XOR s jediným rozdílem, že hodnot výstupu je pro všechny komince invertován Asynchronní klopný ovod typu RS Funkce ovodu RS je následující, pokud přivedeme logickou 1 n vstup R logickou 0, do klopného ovodu se uloží logická 1. A oráceně: pokud n vstup S přivedeme logickou 0 n vstup R logickou 1, dojde k uložení logické 0. Když n o vstupy přivedeme logickou 0, uložená hodnot se nezmění (ovod si pmtuje svůj minulý stv. Poslední možná komince, což jsou dvě logické 1, je tzv. zkázným stvem. Jelikož Hrdlo NAND je nejjednodušší pro relizci (v technologii CMOS je tvořeno pouhými čtyřmi trnzistory, tk zde uvedu relizci RS klopného ovodu právě prostřednictvím hrdel NAND. Zpojení schémtická znčk. Orázek 2.5 RS-KO: schém zpojeni c možné schémtické znčky 8

14 Příkld popisu klopného ovodu prvdivostní tulkou: R S Příští stv Význm 0 0 Q = Q Zchovej stv 0 1 Q = 1 Nstv logickou Q = 0 Nstv logickou Q = X Zkázný stv Příkld popisu klopného ovodu chrkteristickou rovnicí: Q i +1 = S + R Q Příkld popisu klopného ovodu Moorovým utomtem: Orázek 2.6 Moorův utomt pro RS KO Příkld popisu klopného ovodu Krnughovou mpou: Orázek 2.7 Krnghov mp pro RS KO 9

15 3 Simulátory simulce 3.1 Pohled n simulci simulátor oecně Ještě před omezením pouze n simulci číslicových ovodů jsem se rozhodl zde připomenout šíři pojmu simulce uvést zde i oecné vysvětlení slov simulce, dle přednášek kurzu Modelovní simulce n Vysokém Učení Technickém v Brně (odkz n literturu, ze které tento předmět čerpá je uveden v [6]. Modelování je proces vytváření modelů systémů n zákldě nšich znlostí. Tento proces je oecně velmi náročný čsto vyžduje znlosti z více oorů. Kvlit vytvořeného modelu zásdním způsoem ovlivní výsledky získné experimentováním s modelem. Simulce je metod získávání nových znlostí o systému experimentováním s jeho modelem. Pro účely simulce musí ýt model popsán odpovídjícím způsoem, le ne kždý model je pro simulci vhodný. Pro získání potřených informcí ovykle potřeujeme opkovt simulční experimenty vícekrát s různými prmetry. Proces experimentování v reálném světě je vždy ztížen chymi měření dlšími fktory, které mohou způsoit prolémy při interpretci výsledků. Nvíc jsou experimenty s reálnými systémy někdy neekonomické, neezpečné, nevhodné neo vůec neproveditelné. Proto používáme metod počítčové simulce, která tyto nevýhody nemá. Olsti použití simulce: Biologie lékřství: npř. modely půsoení léků v orgnismu, modelování růstu kterií Fyzik: npř. model jderného rektoru, model šíření zvuku v místnosti Chemie: npř. modely chemických rekcí, výpočty vlstností látek Astronomie: npř. model srážky glxií, simulce pohyu plnet kolem Slunce Meteorologie: npř. modely pro předpověď počsí Geologie: npř. model zemětřesení Technik oecně: npř. simulovné crsh testy utomoilů, model mikroprocesoru, modely tomů, simulce elektrických ovodů, simulce číslicových ovodů 3.2 Simulce simulátor číslicových ovodů Specilizce zákldních pojmu do prolemtiky číslicových ovodů y vypdl následovně: Modelování je vytváření, vytvoření schém zpojení jeho následné zjednodušení pomocí metod minimlizce. Simulce je numerické řešení mtemtických modelů, potžmo schémtu číslicových systémů. Simulátor je výpočetní systém provádějící numerický výpočet chování modelu. Hlvní dělení simulce číslicových ovodů je podle rozshu simulovných hodnot podle přístupu k simulci zpoždění. 10

16 3.2.1 Rozdělení simulátorů dle počtu simulovných stvů N zčátku této podkpitoly je si nejvhodnější prostor pro zmínku o existenci rezoluční (rozhodovcí funkci, která se používá k řeší konfliktů mezi více udiči jednoho signálu. Bývá zvykem tkovouto funkci dodt do specifikce číslicového ovodu nejčstěji formou tulky. Rozdělení dle počtu stvů pk vypdá následovně: dvoustvové (0,1 - nevystihují všechny události, které v simulovném ovodu mohou nstt třístvové (0,1,X - X: je symol pro stv neznámé hodnoty, npříkld pokud vstup přichází z jednoho konce hodnot 0 z druhého konce hodnot 1 čtyřstvové (0,1,X,Z Z je symol pro stv vysoké impednce Rozdělení dle zohlednění zpoždění Ještě před smotným rozdělením, zde uvedu zákldní pojmy spojené se zpožděním: Zpoždění hrdl -zákldní komponenty číslicových ovodů, hrdl, stejně jko všechny reálné elektrické součástky, mjí určité zpoždění, vymezující dou, po kterou proíhá vyhodnocení výstupu komponenty. Hzrd - krátká neočekávná změn výstupního signálu, způsoená šířením signálu ze vstupu n výstup různými, le konvergujícími cestmi, které mjí různé čsové ohodnocení, způsoené zpožděním hrdel. Sttický hzrd - výstup má ýt trvle v 0 neo 1, místo toho se ojeví krátká změn do opčné úrovně. Tento hzrd je způsoen dvěm komplementárními signály, které jsou z důvodů různých zpoždění stejné, čkoliv stejné ýt nemjí. Změn = sttický hzrd v nule, Změn = sttický hzrd v jedničce Sttické hzrdy můžeme odhlit v čsovém digrmu neo v mpě. Dynmický hzrd - nstne, jestliže dv signály, které mjí ýt stejné, n chvíli stejné nejsou. Tto situce nstne, když se proměnná šíří ze vstupu n výstup různými cestmi s různým zpožděním. Dynmický hzrd se projeví při změně výstupu (tj neo Dynmický hzrd nelze zjistit z mpy, le lze ho zjistit z několik mp. Náěžná hrn signálu změn signálu z hodnoty 0 n hodnotu 1. Sestupná hrn signálu změn signálu z hodnoty 1 n hodnotu 0. Předstih - do před ktivní hodinovou hrnu, kdy už musí ýt vstup stilní. Přesh - do po ktivní hodinové hrně, kdy musí ýt vstup ještě stále stilní. Doprvní zpoždění - Zchovává všechny pulzy, pouze je zpozdí - posune. Vhodné pro modelování vodičů, důležitou vlstností tohoto druhu simulce je, že se neztrácí žádné pulzy. Setrvčné zpoždění - modeluje reálné součástky (hrdl. Nmísto kolmých náěžných sestupných hrn pulsů šikmé, pozvolné hrny. Filtruje úzké pulsy (puls "nestihne" dosáhnout log Synchronní simulce Synchronní simulce neuvžuje zpoždění, pro se zde dá použít metod pevného čsového kroku, to znmená že jediná změn výstupu může nstt při změně vstupu, není zde žádný čsový intervl, ve kterém se hodnot dostává postupně od vstupu k výstupu. 11

17 Řízení synchronní simulce lze oddělit výpočet kominční části pměťové části. Oecný lgoritmus má dvoufázový průchod, zprvé výpočet zpmtování si nových hodnot zdruhé přenos nových hodnot do skutečných výstupů Asynchronní simulce Asynchronní simulce zpoždění uvžuje. Musí se zde použít metod proměnného čsového kroku, čs, kdy dochází ke změně některého z výstupů je ovlivněn nejen krokem změny vstupů, le i zpožděním s jkým se promítá vliv změněného vstupu n výstup. Asynchronní simulce předstvuje podronější přístup k simulci systému, umožňuje zjistit sttické dynmické hzrdy, umožňují ověřit dodržení předstihů přeshů, umožňují tké ověřit správnost frekvence hodinových pulsů Druhy zpoždění uváděných pro synchronní simulci v [5] jsou následující: jednotkové - stejné pro všechny ovody, násoné násoky jednotkového neo liovolné. 3.3 EDIF Před popisem smotného EDIFu je nutné definovt pojem netlist: Slovo netlist lze použít v různých kontextech, já zde o něm udu le uvžovt pouze ve spojitosti s popisem elektrických ovodů, konkrétně diskrétních ovodů. V této souvislosti se netlist užívá pro popis číslicových ovodů, konkrétně poskytuje informce o jednotlivých komponentách ovodu jednotlivých spojích mezi komponentmi, jinými slovy definuje komponenty jejich vzájemné propojení. Toto může ýt mnohdy nedostčující popis, proto se číslicové ovody popisují i jinými způsoy, npříkld jzykem VHDL čí Verilog. EDIF (kronym z nglického Electronic Design Interchnge Formt je potom stndrdem stnovujícím formát výše definovného netlistu. První verze yl vytvořen v roce 1985, první stndrdizovná verze, stndrd IEEE, yl verze Verze yl vydán v roce 1988 konkrétně jí popisuje stndrd ANSI/EIA N tuto verzi dále nvázly verze (rok vydání (rok vydání Popis stěžejní syntxe EDIFu příkld netlistu v tomto formátu je uveden v příloze č. 3 příloze číslo 4. 12

18 4 Návrh simulátoru 4.1 Specifikce zdání Byl zdán poždvek n simulci jednoduchých kominčních sekvenčních ovodů. Jednoduchost yl specifikován omezením n počet primárních vstupů výstupů. Dodtečná specifikce se týkl poždvku n formát vstupních ovodů. Tento simulátor si tto omezení definovl následovně: Ovod může oshovt mximálně 64 primárních vstupů, 64 primárních výstupů 128 komponent. Vstupní ovod pro simulci musí ýt zpsán ve vstupním souoru ve formátu EDIF. Pokud chceme dodefinovt zpoždění pro jednotlivé komponenty vstupního, je nutné dopst je explicitně do speciálního souoru. Pokud nechceme ovod simulovt n všechny možné komince vstupů generovné utomticky musíme dodt speciální souor s vlstními předpřiprvenými hodnotmi vstupních vektorů. Pokud chceme simulci ukončit, před projitím všech vstupních vektorů (ť už generovných utomticky neo explicitně je nutné dodtečně zdt čs skončení simulce jko rgument při spuštění progrmu. Dlší informce o implicitních předvolách simulátoru už nejsou ntolik stěžejní ych je zde opkovl, všechn implicitní nstvení simulátoru možnosti jejich změny jsou popsné v příloze č

19 4.2 Vlstní návrh implementce Rozhodl jsem se tento simulátor nvrhnout pro simulci tříhodnotového druhu, (0, 1, X, komponent co možná nejližších relitě, z tohoto důvodu simulátor uvžuje i zpoždění těchto komponent. Dlším velmi důležitým rozhodnutím pro návrh simulátoru yl vol formátu pro zápis vstupního simulovného ovodu. Tto vol yl specifikován v zdání. Od této voly se odvíjeli návrh implementce překldče, což nkonec stálo stejné úsilí jko návrh implementce vlstního simulátoru. Překldč je nvržen tk, y jkkoliv nekorektní schém nepustil k smotnému simulátoru ukončil ěh progrmu se stručnou chyovou hláškou vypisovnou n stndrdní chyový výstup. Výstupem simulce je jednoslovná informce o úspěchu, či neúspěchu simulce podávná n stndrdní výstup především souor s výsledkem simulce. Formát výstupního souoru oproti formátu vstupního souoru neyl specifikován, porto je zde zvolen vlstní form zápisu. Stručný popis formy zápisu: hodnoty všech primárních vstupů výstup pro dný simulční čs jsou zznmenány n jeden řádek zčínjící hodnotou tohoto čsu. Čili záznmy pro různé čsy jsou mezi seou odděleny konci řádků záznmy pro jeden dný čs jsou mezi seou odděleny tulátory, respektive mezermi. Tto form výstupu je volen cíleně, jelikož je velice vhodná pro dlší zprcování pomocí některého z dávkovcích progrmů, npříkld gnuplot, který z dt dokáže sndno rychle vytvořit přehledný grf (oecné ohtě okomentovné šlony pro tvoru grfu přes progrm gnuplotu jsou dodávány s progrmem v sekci exmples. Protože simulátor musí podporovt spoustu nstvení definujících průěh simulce několik pro dlší doplnění definice simulovného ovodu, ylo nutné vytvořit rozumný návrh pro získávání těchto vole. Tento návrh, je zde vyřešen kominci získávání hodnot ze vstupních prmetrů příkzové řádky získávání hodnot z implicitních vstupních souorů. Mezi implicitní souory ptří: delys.txt input_vritions.txt. Jk již překld názvů těchto souorů npovídá, souor delys.txt oshuje informce o zpoždění komponent použitých v simulovném ovodu. Pouhé nhlédnutí do tohoto souoru stčí k pochopení jednoduchých prvidel pro vytvoření vlstních záznmů. Druhý stndrdní souor input_vritions.txt plní funkci explicitního generátoru hodnot pro primární vstupy simulovného ovodu. Pojem explicitní generátor je chápn jko souor s předem vygenerovnými hodnotmi, které jsou zpsány dle jednoduché syntxe (definice formátu zápisu hodnot je uveden v hlvním komentáři původního souoru input_vritions.txt. Druhou metodou zisku vole o které yl řeč, je získávání z rgumentů příkzové řádky. Tto metod zde neude rozeírán, jelikož celou prolemtikou prmetrů příkzové řádky se zývá příloh č. 1. Následuje konkrétní popis simulční rutiny v pseudokódu: SimulujOvod( vlstní struktur: uživtelskánstvenízpříkzovéřádky, ukztel n souor: výstupnísouor, vlstní typ pro chyy: příznkchyy Zčátek rutiny Vyhodnoť uživtelská nstvení Nuluj počet průchodů Nuluj příznk konce Nuluj příznk výpisu Dokud je ktuální čs menší než celkový čs součsně klendář není prázdný součsně počet průchodů je menší než mximální povolený Inkrementuj počet průchodů Pokud je nplánován v ktuálním čse inkrementce Pokud je nstven příznk konce 14

20 Vyskoč z cyklu Inkrementuj vstupy Pokud není zdán vol příkzového řádku nstvující čs simulce součsně pokud ylo dosženo konce souoru se vstupními hodnotmi Nstv příznk konce Pokud je nplánován změn CLK vstupu Proveď změnu CLK vstupu Pokud uživtel zvolil příznk chudého výstupu Pokuj je nplánován událost výpis hodnot Nstv příznk výpisu Jink (pokud není zvolen příznk chudého výpisu Nstv příznk výpisu Dokud je nplánován událost ktivce výstupu komponenty Vyjmi z klendáře první výstup k ktivci Přidej do seznmu všechny spoje, které ovlivnil ktivovný výstup Konec vnořeného cyklu Osluž všechny ovlivněné spoje Osluž všechny ovlivněná hrdl Pokud osluh hrdel ovlivnil dlší spoje Pokrčuj n zčátek hlvního cyklu Pokud čs následujícího záznmu klendáře je rozdílný od čsu ktuálního Pokud je nstven výpis Zznmenej hodnoty do výstupního souoru Konec hlvního cyklu Návrt z rutiny Návrh dtových struktur Byl nvržen řd dtových struktur, je možné je rozdělit z hledisk účelu použití n: 1. Dtové struktury pro uložení simulovného ovodu: v hierrchii nejvýše postveným zástupcem této skupiny je struktur pro uložení všech prvků ze kterých je simulovný ovodu složen, mezi její zákldní členy ptří seznm komponent, seznm vstupních spojů, seznm výstupních spojů, seznm vnitřních spojů záznm o čsovém spoji (spoj, který není ovlivňován generátorem vstupních vektorů. Dlším zástupcem pro tuto skupinu je struktur zštiťující informce týkjící se jedné komponenty, mezi členy této struktury ptří informce o druhu komponenty (nd, or, jk, informce o vstupech výstupech komponenty, informce o zpoždění komponenty informce o stvu komponenty. Posledním důležitým zástupcem je dtová struktur pro uložení jednoho spoje tvořená záznmy informujícími o ktuální hldině spoje (0, 1, X o vstupech výstupech spoje. Mezi metody nvržené pro práci s tímto druhem dtových struktur ptří pouze metody relizující inicilizci uvolňování záznmu. 2. Struktury pro vlstní implementci hlvního lgoritmu překldče: z prvé sem ptří struktury nesoucí dodtečné informce ke všem strukturám z předešlé ktegorie, týkjících se především oznčení ve schémtu. Dále sem ptří struktur používná k uložení vole pro jednotlivé loky, mezi její záznmy ptří typ EDIF loku, znoření loku, stv loku záznmy definující podporu pro různé konstrukce loku. Mezi metody pro touto skupinou dt ptří nejen metody pro inicilizci uvolňování, le i metody řešící korektnost loku, korektnost pořdí loků korektnost schémtických znček. 3. Struktur pro uchování uživtelských nstvení průěhu simulce: Do této skupiny ptří struktur pro uchovávání uživtelských dt, zdných přes příkzovou řádku. Záznmy této struktury v podsttě přesně kopírují podporovné prmetry příkzového řádku plus ukládjí dodtečné informce k prmetrům, které si to žádjí. Tto skupin nevyžduje implementci speciálních metod, postčily metody pro inicilizci uvolňování záznmu. 15

21 4. Struktury nutné pro vlstní implementci simulčního lgoritmu: hierrchicky nejvýše postveným zástupcem této skupiny je struktur nesoucí informci o seznmu událostí, které se mjí v udoucnu vykont, této struktuře se v simulční terminologii přezdívá klendář. Dlší v hierrchii je struktur zpouzdřující informce jedné události. Oshem této struktury jsou záznmy pro čs, n který je událost plánován, druh plánovné události seznm dt, nd kterými se mjí dné události provést (prvek seznmu dt se skládá z reference n měněný prvek ovodu informce o typu události, respektive typu kce, která se má s prvkem ovodu provést. V této skupině si nevystčíme s pouhými zákldními metodmi pro inicilizci uvolňování záznmů, proto zde ylo nutné npst doplňující metody, mezi hlvní ptří: funkce pro vkládání nových záznmů s jejich zřzením n správné místo, výěr prvního záznmu s nejmenším čsem ktivce, výěr poždovného konkrétního záznmu, toto je nutné v přípdě, že potřeujeme již nplánovnou událost z klendáře zrušit Implementce Progrm je konzolová plikce npsná v čistém jzyce C. Progrm neoshuje universální inární souor, je nutného jeho přeložení před prvním spuštěním n kždé stnici, k přeložení poslouží skript Mkefile, stndrdně dodáván se zdrojovými souory tohoto progrmu. Simulátor je spouštěn příkzem./simul v operčním systému Linux příkzem simul v operčním sytému Microsoft Windows. Snžil jsem se progrm vytvořit tk, y při spuštění ez rgumentů vyhovovl co nejvyšší škále uživtelů, přesto mi le ylo jsné, že pro důkldnější testování, je možnost voly nutnost. Proto progrm podporuje dosti uživtelských vole, zdných při spuštění simulátoru jko rgumenty příkzového řádku. Pro rychlé zorientování v prvidlech správného používání rgumentů je vhodné si před prvním spuštěním progrmu přečíst přílohu č. 5. Podronější popis podporovných rgumentech nleznete v příloze č. 1. Vlstní progrm je tvořen ze dvou částí: první část, překldč, se strá o nplnění pměťových struktur (použitým dtovým strukturám je věnován poslední odstvec této podkpitoly, dle zdného vstupního schémtu. Druhá část, simulátor, se strá o vlstní simulování ovodu. Překldč zprcovává pouze zákldní příkzy EDIF, osttní klíčová slov vyhodnotí jko nepodporovné loky (více o EDIFu jeho o podporovných nepodporovných příkzech jejich omezeních, respektive přizpůsoeních, nleznete v příloze č. 4. Překldč všk kontroluje správnou souslednost všech příkzů, kontroluje správnost znořování, respektive vynořování do, respektive z jednotlivých loků, kontroluje správné definice deklrce komponent, portů spojů. Ve všech locích, podporovných i nepodporovných, proíhá kontrol výskytu klíčových slov n nesprávných pozicích. Druhá část progrmu, simulátor očekává již správně nplněné dtové struktury nesoucí informce o simulovném ovodě. Tkto nplněné dtové struktury vyhodnotí simulčním lgoritmem. Podronost výpisu závisí n uživtelských nstveních má mírný vliv n rychlost simulce. Výpis je prováděn do souoru ve formátu popsném v předešlé sekci. Simulovný ovod je po přeložení uchováván v hlvní dynmické struktuře TSimulovnyOvod, popsné v předešlé sekci jko struktur pro uložení všech komponent spojů simulovného ovodu. Progrm je nvržený pro sndné přidávání vlstních komponent pomocí přidání vlstních modulů. Pro npsání vlstních modulů stčí prozkoumt, jk jsou koncipovány moduly stávjící, (sic_opertions.c, rs.c, jk.c, d.c, t.c. Po pochopení zákldních zásd psní modulu dle příkldů, stčí npst vlstní modul v hlvním simulčním modulu rozšířit podporovnou instrukční sdu o nově připsné funkce. Detilněji je prolemtik rozšíření instrukční sdy popsán v příloze 2. 16

22 Metriky kódu: Počet zdrojových souorů: 17 Počet hlvičkových souorů: 20 Počet spustitelných souorů: 1 Počet modulů: 17 Počet řádků celkem: 9539 Procentní zstoupení kódu: 0,52 Procentní zstoupení komentářů 0,26 Procentní zstoupení prázdných řádků: 0, Experimentální ověření simulátoru Pro ověření simulátoru jsem si připrvil několik demonstrčních příkldů (nchází se v příloze č. 6. V této sekci uvedu pouze čtyři z těchto příkldů: příkld s kominčním ovodem, příkld s synchronním sekvenčním ovodem, příkld se synchronním sekvenčním ovodem přikld relizce klopného ovodu. Testování proěhlo n noteooku: Procesor: Intel Core Solo T1350, 1.86 GHz RAM: 2048MB, sdílená Operční systém: Linux, distriuce Dein Příkld s kominčním ovodem Jko příkld n kominční ovod jsem si vyrl čtyřitovou sčítčku MSI 7483 s urychleným výpočtem crry itu (vysvětlení npř. viz. [4], crry není generováno postupně, le prlelně, příkld převztý z [4]. Rovnice příkldu: c c + c + Schém příkldu: i = i 1 i i 1 i i i 17

23 Orázek 4.1 Schém - 4 itová sčítčk s prlelním crry Umístění schém přepsného do vstupního formátu n CD: CD:\prktick_implementce\exmples\01-dd_4\01.edif Spuštěná dávk: time./simul --inc=1 -i 01.edif -eig e01-input_vritions.txt -o 01.dt Výstup dávky SUCCESS rel user sys 0m0.005s 0m0.004s 0m0.000s Ukázk několik řádku výstupu: time [ms] A1 A2 A3 A4 B1 B2 B3 B4 CI S1 S2 S3 S4 C

24 Grfické zorzení výstupu: Orázek 4.2 Průěh simulce k příkldu 3 Pro tento příkld jsem se rozhodl provést zátěžový test s nstvením doy simulce n extrémní hodnotu. Z pochopitelných důvodů zde neudu uvádět grfický výstup ukázku několik řádků výstupu. Spouštěná dávk výstup z této dávky potom vypdá následovně: 19

25 Spuštěná dávk: time./simul -i 06.edif -eig 06-input_vritions.txt --inc=0.2 --time= Výstup dávky Pocet zznmennych rdku: SUCCESS rel user sys 3m58.825s 3m7.764s 0m5.824s Příkld s synchronním sekvenčním ovodem Jko příkld n synchronní sekvenční ovod jsem si vyrl čtyřitový čítč, příkld je z [5]. Schém příkldu: Orázek 4.3 Schém - synchronní 4 itový čítč Umístění schém přepsného do vstupního formátu n CD: CD:\prktick_implementce\exmples\sekv03-synchronni_citc\input-sekv03.edif Spuštěná dávk: time./simul --inc=1 --time=100 -i 02.edif -eig 02-input_vritions.txt -o 02.dt Výstup dávky SUCCESS rel user sys 0m0.006s 0m0.000s 0m0.008s Ukázk několik řádku výstupu: time [ms] H CLK Q1 Q2 Q3 Q

26 Grfické zorzení výstupu: Orázek 4.4 Průěh simulce k příkldu Příkld se synchronním sekvenčním ovodem Jko příkld n synchronní sekvenční ovod jsem si vyrl úmyslně tké čtyřitový čítč, v rámci srovnání. Schém příkldu: Orázek 4.5 Schém - synchronní 4 itový čítč Umístění schém přepsného do vstupního formátu n CD: CD:\prktick_implementce\exmples\03-count_syn\03.edif Spuštěná dávk: time./simul --inc=1 --time=100 -i 03.edif -eig 03-input_vritions.txt -o 03.dt Výstup dávky SUCCESS 21

27 rel user sys 0m0.010s 0m0.004s 0m0.000s Ukázk několik řádku výstupu: time [ms] H CLK Q1 Q2 Q3 Q Grfické zorzení výstupu: Orázek 4.6 Průěh simulce k příkldu Příkld s klopným ovodem Jko příkld n klopný ovod jsem si vyrl dvoufázovou implementci dvoufázového klopného ovodu JK, pomocí dvou RS klopných ovodů. Schém příkldu: Orázek 4.7 Schém JK KO dvoufázový Umístění schém přepsného do vstupního formátu n CD: CD:\prktick_implementce\exmples\06-jk_2phse\06.edif 22

28 Spuštěná dávk pod Linuxem: time./sim09 --inc=0.25 -i 06.edif -eig 06-input_vritions.txt -o 06.dt Výstup dávky SUCCESS rel user sys 0m0.029s 0m0.004s 0m0.004s Ukázk několik řádku výstupu: time [ms] J K C Q NQ Grfické zorzení výstupu: Orázek 4.8 Průěh simulce k příkldu 4 23

29 5 Závěr Se svou prcí jsem v konečné fázi spokojený, podřilo se mi splnit zákldní úkol to implementovt simulátor, dokonce se mi podřilo i držet se zákldní motivce pro tvoru tohoto simulátoru, tedy klást důrz především n rychlost jednoduchost simulátoru. Jko dlší pokrčování práce y ylo vhodné použít formát výstupu komptiilní s některým široce používným simulčním prostředím, npříkld modelsimem, dále ych doldil překldč EDIFu, y se stl více univerzálnějším, v třetím kroku ych přistoupil k podpoře více vstupních formátů, npříkld VHDL, ve čtvrtém kroku ych se zývl prozkoumáním možnosti dlšího vylepšení simulčního lgoritmu n závěr ych znovu prozkouml možnosti zrychlení překldu. Po solvování všech těchto kroků ych se možná ještě zmyslel nd tvorou dlšího kooperujícího progrmu, který y zprostředkovl jednk tvoru vstupních souorů skrze grfické návrhové prostředí jednk grfické zorzení všech podporovných výstupních formátů. Nkonec chci ještě poznment, že tento projekt mě zujl, umožnil mi prcovt n rozsáhlejším projektu, který je n tisíce řádků pomohl mi uvědomit si, jk může ýt těžké projekt tkového rozshu uhlídt jk moc velkou cenu progrmátor zpltí, když všechn úsklí důkldně nepromyslí. 24

30 Litertur [1] Seknin L.: Evolvle Components. Nturl Computing Series, Springer-Verlg, Berlin, 2004 [2] Wkerly J. F.: Digitl Design: Principles nd Prctices, Prentice-Hll, 2000 [3] Hordějčuk V.:Mtemtik, Booleov lger, [online]. c2009. Dostupné n URL: < [4] Fučík O.: podkldy k přednáškám předmětu INC přednášeném n VUT FIT Brno, [online]. Brno c Dostupné n URL: < [5] Anonymní zdroj, [online]. Dostupné n URL: < [6] Peringr P.: Modelov nı simulce - Studijnı opor, [online].brno c2008. Dostupné n URL: < 25

31 Seznm příloh Příloh 1. CD:\prktick_implementce\doc\Redme.txt Příloh 2. CD:\prktick_implementce\doc\OwnModules.txt Příloh 3. CD:\prktick_implementce\exmples\06-jk_2phse\06.edif Následující přílohy jsou pouze v elektronické podoě: Příloh 4. CD:\prktick_implementce\doc\Minimum_jk_npst_vstupni_edif.txt Příloh 5. CD:\prktick_implementce\doc\QuicStr.txt Příloh 6. CD:\prktick_implementce\src\ zdrojové souory Příloh 7. CD:\prktick_implementce\src\exmples\ 26

32 Příloh 1.oooooo..o o8o oooo d8p' `Y8 `"' `888 Y88o. oooo ooo..oo..oo. oooo oooo 888 `"Y8888o. `888 `888P"Y88P"Y88 `888 ` `"Y oo.d8p ""88888P' o888o o888o o888o o888o `V88V"V8P' o888o Tento progrm získává veškeré informce o uživtelských potřeách simulce přes rgumenty příkzového řádku. Podporuje následující prmetry: -i cest k vstupnimu souoru Volitelný prmetr, pokud není zdán, je rán defultní souor: /exmples/00-defult/00.edif Pokud je prmetr zdán, musí ýt ezprostředně následován cestou k vstupnímu souoru. prikld:./simul -i exmples/01-dd_4/01.edif -o cest k vystupnimu souoru Volitelný prmetr, pokud není zdán ude výstup posílán do implicitního souoru vystup.dt Pokud je prmetr zdán, musí ýt ezprostředně následován cestou k výstupnímu sooru *Pozor: simulátor neřeší složkování, proto musí cílová složk předem existovt!* prikld:./simul -o exmples/01-dd_4/01.dt -eig cest k sourou s predpriprvenymi vstupnímy vektory Volitelný prmetr, pokud není zdán ude simulátor postupně generovt všechny možné komince vstupů Pokud je prmetr zdán, sám, ez zdání cesty k souoru, ude rán jko souor s externě definovnými vstupními vektory souor input_vritions.txt Prmetr le může ýt zdán s ezprostředně následující cestou k souoru s externími komincemi vstupů, poté jsou vstupy čerpány z tohoto souoru. prikld:./simul -o exmples/01-dd_4/input_vritions.txt --dont-show-hzrds Volitelny prmetr, pokud je zdn vypin se detekce hzrdu, coz zrychluje eh vlstni simulce --dont-show-x Volitelný prmetr, vyuziti si njde hlvne u ovodu ve kterych pouzijeme hrdl s nulovym spozdenim, tento prmetr urychli simulci 27

33 --inc=(vlue [ms] Prmetr pro urceni csoveho kroku, se kterym se mji generovt vstupy, pokud neude zdn simultor spocit krok co nejvice vyhovujici, tk y se stihli zmeni n vstupu projevit n vystupu le soucsne y zmeny n vystupu nevisely zytecne dlouho --time=(vlue [ms] Prmetr pro urceni celkove delky simulce, pokud neude zdn simultor spocit sm tuto hodnotu, to tk y to co nejlepe vyhovovlo dnemu schemtu. To znmen y se stihly vygenerovt vsechny komince vstupu soucsne y se vsechny tyto komince stihli v ovodu projevit Nselduji tri volitelne prmetry pro ty kterym nestci vypisy hodnot pri zmene, le chteji si vypisi nejk sesynchornizovt, ci chteji vypisi pouze po jedne vterine od toho toho csu Bez techto prmetru udou ve vystupnim souoru zznmenny vsechny udlosti v nichz se menil nejky vstup ci vystup --report-step=(vlue [ms] Volitelny prmetr pro frekvenci vypisu pozdovnych hodnot, pokud neni zvolen nstvi se n stejnou frekvenci jko krok se kterym se generuji vstupni hodnoty --report-dely=(vlue [ms] Volitelny prmetr pro zpozdeni s jkym m zcit vypisovni pozdovnych hodnot, nejlepe vyhovuje kdyz mu nstvime hodnotu jko m nejvetsi zpozdeni hrdl v ovodu pote soucsne prmetr poor-output, pote vystupni souor oshuje pouze pltne hodnoty s prvidelnym intervlem --poor-output Volitelny prmetr, jeho zdnim vypneme veskere stndrtni vypisy udou se provdet pouze vypis urcene prmetry report, pokud neni zdn ni jeden prmetr report, teto prmetr je ignorovn. 28

34 Příloh 2 Záshy v implicitních zdrojových kódech nutných pro rozšíření instrukční sdy Pro přidáni vlstního modulu je nutný zásh v následujících implicitních zdrojových souorech: hlvni_rozhrni.h, simultor.c, min.c hlvni_rozhrni.h Slovní popis úprv v souorech: hlvni_rozhrni.h: zde je nutné přidt n konec výčtového seznmu E_SimOperce konstntu pro přidávnou komponentu s indexem o jedn větším, než má konstnt předcházející. min.c: zde je nutné přidt n konec textového řetězce g_prefixy název, respektive zkrtku odvozenou z názvu komponenty. Omezeni plynoucí pro tuto kci: 1. je nutné použít kominci pouze dvou písmen. Tto komince musí ýt v řetězci jedinečná 2. je nutné novou zkrtku přidt n konec řetězce, z důvodu komptiility mezi indexem nové zkrtky, respektive nového podřetězce, v řetězci všech zkrtek mezi konstntou ve výčtovém typu E_SimOperce simultor.h: zde je nutné inkrementovt define konstntu SIM_POCET_PODPOROVANYCH_ OPEARACI o počet přidávných opercí (ve většině přípdech o jedn. simultor.c: zde je nutné doplnit pole funkcí OperceHrdel o nově vytvořenou vývozní funkci modulu, respektive hlvni funkci komponenty Prktická ukázk úprvy v souorech: úprvy pro souory: hlvni_rozhrni.h: typedef enum esimoperce { SIM_AND = 0, SIM_OR = 1, SIM_XOR = 2, SIM_NAND = 3, SIM_NOR = 4, SIM_NEG = 5, SIM_RS = 6, SIM_D = 7, SIM_JK = 8, SIM_T = 9, SIM_BF = 10, SIM_NK = 11 } E_SimOperce; 29

35 min.c: const chr g_prefixy[50] = " AN OR XO NA NO NG RS DD JK TT BF NK "; simultor.h: #define SIM_POCET_PODPOROVANYCH_OPERACI 12 //původně 11 simultor.c: void InicilizujSimultorMemory(TSimulovnyOvod *simovod, TSimultorMemory *simmem { simmem->opercehrdel[sim_and] = OperceAND; simmem->opercehrdel[sim_or] = OperceOR; simmem->opercehrdel[sim_xor] = OperceXOR; simmem->opercehrdel[sim_nand] = OperceNAND; simmem->opercehrdel[sim_nor] = OperceNOR; simmem->opercehrdel[sim_neg] = OperceNEG; simmem->opercehrdel[sim_rs] = OperceRS; simmem->opercehrdel[sim_d] = OperceD; simmem->opercehrdel[sim_jk] = OperceJK; simmem->opercehrdel[sim_t] = OperceT; simmem->opercehrdel[sim_bf] = OperceBuffer; simmem->opercehrdel[sim_nk] = NzevFunkceNoveKomponentyZNovehoModulu; 30

36 Příloh 3 Ukázk jednoduchého netlistu v EDIFu Ukázk se vzthuje k příkldu uvedeném v sekci 4.3.4: (edif moxon_edif (edifversion (ediflevel 0 (keywordmp (keywordlevel 0 (sttus (written (timestmp (progrm "vim" (Version "6.0" (dtorigin "moxon design" (uthor "tom moxon" (externl generic_gtes (ediflevel 0 (technology (numerdefinition (cell n02d1 (celltype GENERIC (view Netlist_representtion (viewtype NETLIST (interfce (port A1 (direction INPUT (port A2 (direction INPUT (port Z (direction OUTPUT (cell rs03d2 (celltype GENERIC (view Netlist_representtion (viewtype NETLIST (interfce (port S (direction INPUT (port R (direction INPUT (port C (direction INPUT (port Q (direction OUTPUT (port NQ (direction OUTPUT (cell ng01d1 (celltype GENERIC (view Netlist_representtion (viewtype NETLIST (interfce (port A1 (direction INPUT (port Z (direction OUTPUT 31

37 (lirry DESIGNS (ediflevel 0 (technology (numerdefinition (cell jk-dvoufzovy (celltype GENERIC (view Netlist_representtion (viewtype NETLIST (interfce (port J (direction INPUT (port K (direction INPUT (port C (direction INPUT (port Q (direction OUTPUT (port NQ (direction OUTPUT (contents (instnce U1 (viewref Netlist_representtion (cellref n02d1 (lirryref generic_gtes (instnce U2 (viewref Netlist_representtion (cellref n02d1 (lirryref generic_gtes (instnce U3 (viewref Netlist_representtion (cellref rs03d2 (lirryref generic_gtes (instnce U4 (viewref Netlist_representtion (cellref rs03d2 (lirryref generic_gtes (instnce U5 (viewref Netlist_representtion (cellref ng01d1 (lirryref generic_gtes (net J (joined (portref J (portref A2 (instnceref U1 (net K (joined 32

38 (portref K (portref A1 (instnceref U2 (net C (joined (portref C (portref C (instnceref U3 (portref A1 (instnceref U5 (net NET1 (joined (portref Z (instnceref U1 (portref S (instnceref U3 (net NET2 (joined (portref Z (instnceref U2 (portref R (instnceref U3 (net NET3 (joined (portref Q (instnceref U3 (portref S (instnceref U4 (net NET4 (joined (portref NQ (instnceref U3 (portref R (instnceref U4 (net NET5 (joined (portref Z (instnceref U5 (portref C (instnceref U4 33

39 (net Q (joined (portref Q (portref Q (instnceref U4 (portref A2 (instnceref U2 (net NQ (joined (portref NQ (portref NQ (instnceref U4 (portref A1 (instnceref U1 (design moxon_edif (cellref full_dder (lirryref DESIGNS 34

Logické obvody. Logický obvod. Rozdělení logických obvodů - Kombinační logické obvody. - Sekvenční logické obvody

Logické obvody. Logický obvod. Rozdělení logických obvodů - Kombinační logické obvody. - Sekvenční logické obvody Logické ovody Cílem této kpitoly je sezn{mit se s logickými ovody, se z{kldním rozdělením logických ovodů, s jejich některými typy. Tké se nučíme nvrhovt logické ovody. Klíčové pojmy: Logický ovod,kominční

Více

Logické obvody - kombinační Booleova algebra, formy popisu Příklady návrhu

Logické obvody - kombinační Booleova algebra, formy popisu Příklady návrhu MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Logické ovody - kominční Booleov lger, ormy popisu Příkldy návrhu České vysoké učení technické Fkult elektrotechnická ABMIS Mikroprocesory

Více

Návrh základních kombinačních obvodů: dekodér, enkodér, multiplexor, demultiplexor

Návrh základních kombinačních obvodů: dekodér, enkodér, multiplexor, demultiplexor Předmět Ústv Úloh č. 2 BDIO - Digitální obvody Ústv mikroelektroniky Návrh zákldních kombinčních obvodů: dekodér, enkodér, multiplexor, demultiplexor Student Cíle Porozumění logickým obvodům typu dekodér,

Více

VY_32_INOVACE_CTE-2.MA-15_Sčítačky (poloviční; úplná) Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl

VY_32_INOVACE_CTE-2.MA-15_Sčítačky (poloviční; úplná) Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Číslo projektu Číslo mteriálu Z..07/.5.00/34.058 VY_32_INOVAE_TE-2.MA5_čítčky (poloviční; úplná) Název školy Autor Temtická olst Ročník třední odorná škol třední odorné učiliště, Duno Ing. Miroslv Krýdl

Více

Technická dokumentace Ing. Lukáš Procházka

Technická dokumentace Ing. Lukáš Procházka Tehniká dokumente ng Lukáš Proházk Tém: hlvní část dokumentu, orázky, tulky grfy 1) Osh hlvní části dokumentu ) Orázky, tulky grfy ) Vzore rovnie Hlvní část dokumentu Hlvní část dokumentu je řzen v následujíím

Více

H - Řízení technologického procesu logickými obvody

H - Řízení technologického procesu logickými obvody H - Řízní tchnologického procsu logickými ovody (Logické řízní) Tortický úvod Součástí řízní tchnologických procsů j i zjištění správné posloupnosti úkonů tchnologických oprcí rozhodování o dlším postupu

Více

( t) ( t) ( t) Nerovnice pro polorovinu. Předpoklady: 7306

( t) ( t) ( t) Nerovnice pro polorovinu. Předpoklady: 7306 7.3.8 Nerovnice pro polorovinu Předpokldy: 736 Pedgogická poznámk: Příkld 1 není pro dlší průěh hodiny důležitý, má smysl pouze jko opkování zplnění čsu při zpisování do třídnice. Nemá smysl kvůli němu

Více

Úvod do Teoretické Informatiky (456-511 UTI)

Úvod do Teoretické Informatiky (456-511 UTI) Úvod do Teoretické Informtiky (456-511 UTI) Doc. RNDr. Petr Hliněný, Ph.D. petr.hlineny@vs.cz 25. ledn 2006 Verze 1.02. Copyright c 2004 2006 Petr Hliněný. (S využitím části mteriálů c Petr Jnčr.) Osh

Více

Půjdu do kina Bude pršet Zajímavý film. Jedině poslední řádek tabulky vyhovuje splnění podmínky úvodního tvrzení.

Půjdu do kina Bude pršet Zajímavý film. Jedině poslední řádek tabulky vyhovuje splnění podmínky úvodního tvrzení. 4. Booleov lger Booleov lger yl nvržen v polovině 9. století mtemtikem Georgem Boolem, tehdy nikoliv k návrhu digitálníh ovodů, nýrž jko mtemtikou disiplínu k formuli logikého myšlení. Jko příkld použijeme

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktur rchitektur očítčů Logické ovody - kominční Booleov lger, ormy oisu Příkldy návrhu České vysoké učení technické Fkult elektrotechnická Ver.. J. Zděnek/M. Chomát Logický kominční ovod Logický kominční

Více

Je regulární? Pokud ne, na regulární ji upravte. V původní a nové gramatice odvod te řetěz 1111.

Je regulární? Pokud ne, na regulární ji upravte. V původní a nové gramatice odvod te řetěz 1111. Grmtiky. Vytvořte grmtiku generující množinu řetězů { n m } pro n, m N {} tková, že n m. Pomocí této grmtiky derivujte řetezy,. 2. Grmtik je dán prvidly S ɛ S A A S B B A B. Je regulární? Pokud ne, n regulární

Více

Konstrukce na základě výpočtu I

Konstrukce na základě výpočtu I ..11 Konstrukce n zákldě výpočtu I Předpokldy: Pedgogická poznámk: Původně yl látk rozepsnou do dvou hodin, v první ylo kromě dělení úseček zřzen i čtvrtá geometrická úměrná. Právě její prorání se nestíhlo,

Více

3 Algebraické výrazy. 3.1 Mnohočleny Mnohočleny jsou zvláštním případem výrazů. Mnohočlen (polynom) proměnné je výraz tvaru

3 Algebraické výrazy. 3.1 Mnohočleny Mnohočleny jsou zvláštním případem výrazů. Mnohočlen (polynom) proměnné je výraz tvaru Algerické výrz V knize přírod může číst jen ten, kdo zná jzk, ve kterém je npsán. Jejím jzkem je mtemtik jejím písmem jsou mtemtické vzorce. (Glileo Glilei) Algerickým výrzem rozumíme zápis, ve kterém

Více

Vícebytová celočíselná aritmetika

Vícebytová celočíselná aritmetika IMTEE 7 / 8 Přednášk č. 7 Vícebytová celočíselná ritmetik = bitová šířk zprcovávných dt > než šířk slov PU npř.: 8 b PU zprcovává b dt dále teoretické příkldy: b PU zprcovává 6 b slov Uložení dt v pměti

Více

Větu o spojitosti a jejich užití

Větu o spojitosti a jejich užití 0..7 Větu o spojitosti jejich užití Předpokldy: 706, 78, 006 Pedgogická poznámk: Při proírání této hodiny je tře mít n pměti, že všechny věty, které studentům sdělujete z jejich pohledu neuvěřitelně složitě

Více

Zavedení a vlastnosti reálných čísel PŘIROZENÁ, CELÁ A RACIONÁLNÍ ČÍSLA

Zavedení a vlastnosti reálných čísel PŘIROZENÁ, CELÁ A RACIONÁLNÍ ČÍSLA Zvedení vlstnosti reálných čísel Reálná čísl jsou zákldním kmenem mtemtické nlýzy. Konstrukce reálných čísel sice není náplní mtemtické nlýzy, le množin reálných čísel R je pro mtemtickou nlýzu zákldním

Více

2.8.5 Lineární nerovnice s parametrem

2.8.5 Lineární nerovnice s parametrem 2.8.5 Lineární nerovnice s prmetrem Předpokldy: 2208, 2802 Pedgogická poznámk: Pokud v tom necháte studenty vykoupt (což je, zdá se, jediné rozumné řešení) zere tto látk tk jednu půl vyučovcí hodiny (první

Více

APLIKACE METODY RIPRAN V SOFTWAROVÉM INŽENÝRSTVÍ

APLIKACE METODY RIPRAN V SOFTWAROVÉM INŽENÝRSTVÍ APLIKACE METODY RIPRAN V SOFTWAROVÉM INŽENÝRSTVÍ Brnislv Lcko VUT v Brně, Fkult strojního inženýrství, Ústv utomtizce informtiky, Technická 2, 616 69 Brno, lcko@ui.fme.vutbr.cz Abstrkt Příspěvek podává

Více

Převody Regulárních Výrazů. Minimalizace Konečných. Regulární jazyky 2 p.1/35

Převody Regulárních Výrazů. Minimalizace Konečných. Regulární jazyky 2 p.1/35 Převody Regulárních Výrzů Minimlizce Konečných Automtů Regulární jzyky 2 p.1/35 Kleeneho lger Definice 2.1 Kleeneho lger sestává z neprázdné množiny se dvěm význčnými konstntmi 0 1, dvěm inárními opercemi

Více

UC485S. PŘEVODNÍK LINKY RS232 na RS485 nebo RS422 S GALVANICKÝM ODDĚLENÍM. Převodník UC485S RS232 RS485 RS422 K1. přepínače +8-12V GND GND TXD RXD DIR

UC485S. PŘEVODNÍK LINKY RS232 na RS485 nebo RS422 S GALVANICKÝM ODDĚLENÍM. Převodník UC485S RS232 RS485 RS422 K1. přepínače +8-12V GND GND TXD RXD DIR PŘEVODNÍK LINKY RS232 n RS485 neo RS422 S GALVANICKÝM ODDĚLENÍM 15 kv ESD Protected IEC-1000-4-2 Převodník přepínče RS232 RS485 RS422 K1 ' K2 +8-12V GND GND TXD RXD DIR PAPOUCH 1 + gnd Ppouch s.r.o. POPIS

Více

Evropská unie Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropská unie Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropská unie Evropský soiální fon Prh & EU: Investujeme o vší uounosti ávrh čítče jko utomtu Osh ÁVRH ČÍAČE JAKO AUOMAU.... SYCHROÍ A ASYCHROÍ AUOMA..... Výstupy utomtu mohou ýt přímo ity pměti stvu.....

Více

Instalační návod. Záložní ohřívač nízkoteplotního monobloku Daikin Altherma EKMBUHCA3V3 EKMBUHCA9W1. Instalační návod. čeština

Instalační návod. Záložní ohřívač nízkoteplotního monobloku Daikin Altherma EKMBUHCA3V3 EKMBUHCA9W1. Instalační návod. čeština Záložní ohřívč nízkoteplotního monoloku Dikin Altherm EKMBUHCAV EKMBUHCA9W Záložní ohřívč nízkoteplotního monoloku Dikin Altherm češtin Osh Osh O této dokumentci. O tomto dokumentu... Informce o skříni.

Více

Automaty a gramatiky

Automaty a gramatiky Automty grmtiky Romn Brták, KTIML rtk@ktiml.mff.cuni.cz http://ktiml.mff.cuni.cz/~rtk Úvod do formálních grmtik Grmtiky, všichni je známe, le co to je? Popis jzyk pomocí prvidel, podle kterých se vytvářejí

Více

Souhrn základních výpočetních postupů v Excelu probíraných v AVT 04-05 listopad 2004. r r. . b = A

Souhrn základních výpočetních postupů v Excelu probíraných v AVT 04-05 listopad 2004. r r. . b = A Souhrn zákldních výpočetních postupů v Ecelu probírných v AVT 04-05 listopd 2004. Řešení soustv lineárních rovnic Soustv lineárních rovnic ve tvru r r A. = b tj. npř. pro 3 rovnice o 3 neznámých 2 3 Hodnoty

Více

Automaty a gramatiky(bi-aag)

Automaty a gramatiky(bi-aag) BI-AAG (2011/2012) J. Holu: 3. Operce s konečnými utomty p. 2/33 Převod NKA ndka BI-AAG (2011/2012) J. Holu: 3. Operce s konečnými utomty p. 4/33 Automty grmtiky(bi-aag) 3. Operce s konečnými utomty Jn

Více

Formální jazyky. Z. Sawa (VŠB-TUO) Úvod do teoretické informatiky 7. března / 46

Formální jazyky. Z. Sawa (VŠB-TUO) Úvod do teoretické informatiky 7. března / 46 Formální jzyky Z. Sw (VŠB-TUO) Úvod do teoretické informtiky 7. řezn 2012 1/ 46 Teorie formálních jzyků motivce Příkldy typů prolémů, při jejichž řešení se využívá pozntků z teorie formálních jzyků: Tvor

Více

Podobnosti trojúhelníků, goniometrické funkce

Podobnosti trojúhelníků, goniometrické funkce 1116 Podonosti trojúhelníků, goniometriké funke Předpokldy: 010104, úhel Pedgogiká poznámk: Zčátek zryhlit α γ β K α' l M γ' m k β' L Trojúhelníky KLM n nšem orázku mjí stejný tvr (vypdjí stejně), le liší

Více

DIGITÁLNÍ UČEBNÍ MATERIÁL. Název školy SOUpotravinářské, Jílové u Prahy, Šenflukova 220. Název materiálu VY_32_INOVACE / Matematika / 03/01 / 17

DIGITÁLNÍ UČEBNÍ MATERIÁL. Název školy SOUpotravinářské, Jílové u Prahy, Šenflukova 220. Název materiálu VY_32_INOVACE / Matematika / 03/01 / 17 DIGITÁLNÍ UČEBNÍ MATERIÁL Číslo projektu CZ07/500/4076 Název školy SOUpotrvinářské, Jílové u Prhy, Šenflukov 0 Název mteriálu VY INOVACE / Mtemtik / 0/0 / 7 Autor Ing Antonín Kučer Oor; předmět, ročník

Více

( a, { } Intervaly. Předpoklady: , , , Problém zapíšeme snadno i výčtem: { 2;3; 4;5}?

( a, { } Intervaly. Předpoklady: , , , Problém zapíšeme snadno i výčtem: { 2;3; 4;5}? 1.3.8 Intervly Předpokldy: 010210, 010301, 010302, 010303 Problém Množinu A = { x Z;2 x 5} zpíšeme sndno i výčtem: { 2;3; 4;5} Jk zpst množinu B = { x R;2 x 5}? A =. Jde o nekonečně mnoho čísel (2, 5 všechno

Více

Měření objektů pozorovaných v průběhu endoskopického vyšetření systémem FOTOM 2008

Měření objektů pozorovaných v průběhu endoskopického vyšetření systémem FOTOM 2008 Technické novinky 79 Měření ojektů pozorovných v průěhu endoskopického vyšetření systémem FOTOM 2008 doc. Ing. Lčezr Ličev, CSc. 1 2, 3, 4, MUDr. Ondřej Urn, Ph.D. 1 Ktedr informtiky FEI, VŠB TU Ostrv

Více

Digitální učební materiál

Digitální učební materiál Digitální učení mteriál Číslo projektu CZ.1.07/1.5.00/34.080 Název projektu Zkvlitnění výuky prostřednictvím ICT Číslo název šlony klíčové ktivity III/ Inovce zkvlitnění výuky prostřednictvím ICT Příjemce

Více

2.5.4 Věta. Každý jazyk reprezentovaný regulárním výrazem je regulárním jazykem.

2.5.4 Věta. Každý jazyk reprezentovaný regulárním výrazem je regulárním jazykem. 2.5. Regulární výrzy [181012-1111 ] 21 2.5 Regulární výrzy 2.5.1 Regulární jzyky jsme definovli jko ty jzyky, které jsou přijímány konečnými utomty; ukázli, že je jedno, zd jsou deterministické neo nedeterministické.

Více

METODICKÝ NÁVOD MODULU

METODICKÝ NÁVOD MODULU Centrum celoživotního vzdělávání METODICKÝ NÁVOD MODULU Název modulu: Zákldy mtemtiky Zkrtk: ZM Počet kreditů: Semestr: Z/L Mentor: Petr Dolnský Tutor: Petr Dolnský I OBSAH BALÍČKU STUDIJNÍCH OPOR: ) Skriptum:

Více

{ } ( ) ( ) 2.5.8 Vztahy mezi kořeny a koeficienty kvadratické rovnice. Předpoklady: 2301, 2508, 2507

{ } ( ) ( ) 2.5.8 Vztahy mezi kořeny a koeficienty kvadratické rovnice. Předpoklady: 2301, 2508, 2507 58 Vzth mezi kořen koefiient kvdrtiké rovnie Předpokld:, 58, 57 Pedgogiká poznámk: Náplň zřejmě přeshuje možnost jedné vučoví hodin, příkld 8 9 zůstvjí n vičení neo polovinu hodin při píseme + + - zákldní

Více

13. Exponenciální a logaritmická funkce

13. Exponenciální a logaritmická funkce @11 1. Eponenciální logritmická funkce Mocninná funkce je pro r libovolné nenulové reálné číslo dán předpisem f: y = r, r R, >0 Eponent r je konstnt je nezávisle proměnná. Definičním oborem jsou pouze

Více

Doc. Ing. Vlastimil Jáneš, CSc., K620

Doc. Ing. Vlastimil Jáneš, CSc., K620 Hrdwre počítčů Doc. Ing. Vlstimil Jáneš, CSc., K620 e-mil: jnes@fd.cvut.cz K508, 5. ptro, lbortoř, 2 2435 9555 Ing. Vít Fáber, K614 e-mil: fber@fd.cvut.cz K508, 5. ptro, lbortoř, 2 2435 9555 Informce mteriály

Více

SYLABUS MODULU UPLATNĚNÍ NA TRHU PRÁCE DÍLČÍ ČÁST II BAKALÁŘSKÝ SEMINÁŘ + PŘÍPRAVA NA PRAXI. František Prášek

SYLABUS MODULU UPLATNĚNÍ NA TRHU PRÁCE DÍLČÍ ČÁST II BAKALÁŘSKÝ SEMINÁŘ + PŘÍPRAVA NA PRAXI. František Prášek SYLABUS MODULU UPLATNĚNÍ NA TRHU PRÁCE DÍLČÍ ČÁST II BAKALÁŘSKÝ SEMINÁŘ + PŘÍPRAVA NA PRAXI Frntišek Prášek Ostrv 011 1 : Sylbus modulu Upltnění n trhu práce, dílčí část II Bklářská práce + příprv n prxi

Více

P2 Číselné soustavy, jejich převody a operace v čís. soustavách

P2 Číselné soustavy, jejich převody a operace v čís. soustavách P Číselné soustvy, jejich převody operce v čís. soustvách. Zobrzení čísl v libovolné číselné soustvě Lidé využívjí ve svém životě pro zápis čísel desítkovou soustvu. V této soustvě máme pro zápis čísel

Více

10. Suffixové stromy 1 2014-01-23

10. Suffixové stromy 1 2014-01-23 10. Suffixové stromy V této kpitole popíšeme jednu pozoruhodnou dtovou strukturu, pomocí níž dokážeme prolémy týkjící se řetězců převádět n grfové prolémy řešit je tk v lineárním čse. Řetězce, trie suffixové

Více

MATA Př 2. Složené výroky: Jsou dány výroky: a: Číslo 5 je prvočíslo. b: Číslo 5 je sudé. c: Číslo 5 je liché. d: Číslo 5 je záporné.

MATA Př 2. Složené výroky: Jsou dány výroky: a: Číslo 5 je prvočíslo. b: Číslo 5 je sudé. c: Číslo 5 je liché. d: Číslo 5 je záporné. MATA Př 2 Složené výroky: Jsou dány výroky: : Číslo 5 je prvočíslo. : Číslo 5 je sudé. c: Číslo 5 je liché. d: Číslo 5 je záporné. Konjunkce disjunkce Konjunkce liovolných výroků, je výrok, který vznikne

Více

Vektorový editor automatů. Bc. Milan Kříž

Vektorový editor automatů. Bc. Milan Kříž České vysoké učení technické v Prze Fkult elektrotechnická Ktedr počítčů Diplomová práce Vektorový editor utomtů Bc. Miln Kříž Vedoucí práce: Ing. Jn Žďárek Studijní progrm: Elektrotechnik informtik, strukturovný,

Více

Jak již bylo uvedeno v předcházející kapitole, můžeme při výpočtu určitých integrálů ze složitějších funkcí postupovat v zásadě dvěma způsoby:

Jak již bylo uvedeno v předcházející kapitole, můžeme při výpočtu určitých integrálů ze složitějších funkcí postupovat v zásadě dvěma způsoby: .. Substituční metod pro určité integrály.. Substituční metod pro určité integrály Cíle Seznámíte se s použitím substituční metody při výpočtu určitých integrálů. Zákldní typy integrálů, které lze touto

Více

Matice. a B =...,...,...,...,..., prvků z tělesa T (tímto. Definice: Soubor A = ( a. ...,..., ra

Matice. a B =...,...,...,...,..., prvků z tělesa T (tímto. Definice: Soubor A = ( a. ...,..., ra Definice: Soubor A ( i j ) Mtice 11 12 1n 21 22 2n m 1 m2 prvků z těles T (tímto tělesem T bude v nší prxi nejčstěji těleso reálných čísel R resp těleso rcionálních čísel Q či těleso komplexních čísel

Více

R n výběr reprezentantů. Řekneme, že funkce f je Riemannovsky integrovatelná na

R n výběr reprezentantů. Řekneme, že funkce f je Riemannovsky integrovatelná na Mtemtik II. Určitý integrál.1. Pojem Riemnnov určitého integrálu Definice.1.1. Říkáme, že funkce f( x ) je n intervlu integrovtelná (schopná integrce), je-li n něm ohrničená spoň po částech spojitá.

Více

GENEROVÁNÍ VÍCEKANÁLOVÉHO DITHERU

GENEROVÁNÍ VÍCEKANÁLOVÉHO DITHERU GEEROVÁÍ VÍCEKÁLOVÉHO DITHERU Z. ureš, F. Kdlec ČVUT v Prze, Fkult elektrotechnická, ktedr rdioelektroniky bstrkt Při kvntizci zvukových signálů dochází ke vzniku chybového signálu, který ovlivňuje kvlitu

Více

( ) ( ) Sinová věta II. β je úhel z intervalu ( 0;π ). Jak je vidět z jednotkové kružnice, úhly, pro které platí. Předpoklady:

( ) ( ) Sinová věta II. β je úhel z intervalu ( 0;π ). Jak je vidět z jednotkové kružnice, úhly, pro které platí. Předpoklady: 4.4. Sinová vět II Předpokldy 44 Kde se stl hy? Námi nlezené řešení je správné, le nenšli jsme druhé hy ve hvíli, kdy jsme z hodnoty sin β určovli úhel β. β je úhel z intervlu ( ;π ). Jk je vidět z jednotkové

Více

Studijní informační systém. Elektronický zápis předmětů a rozvrhu. I. Postup zápisu předmětů a rozvrhu

Studijní informační systém. Elektronický zápis předmětů a rozvrhu. I. Postup zápisu předmětů a rozvrhu Studijní informční systém Elektronický zápis předmětů rozvrhu V odoí elektronického zápisu předmětů proíhá tzv. předěžný zápis. Student má předměty zpsné ztím pouze předěžně může je po celé odoí elektronického

Více

Nosné stavební konstrukce Výpočet reakcí

Nosné stavební konstrukce Výpočet reakcí Stvení sttik 1.ročník klářského studi Nosné stvení konstrukce Výpočet rekcí Reálné ztížení nosných stveních konstrukcí Prut geometrický popis vnější vzy nehynost silové ztížení složky rekcí Ktedr stvení

Více

MULTIDIMENSIONÁLNÍ JAZYKY A JEJICH AUTOMATY MULTI-DIMENSIONAL LANGUAGES AND THEIR AUTOMATA

MULTIDIMENSIONÁLNÍ JAZYKY A JEJICH AUTOMATY MULTI-DIMENSIONAL LANGUAGES AND THEIR AUTOMATA VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA INFORMAČNÍCH TECHNOLOGIÍ ÚSTAV INFORMAČNÍCH SYSTÉMŮ FACULTY OF INFORMATION TECHNOLOGY DEPARTMENT OF INFORMATION SYSTEMS MULTIDIMENSIONÁLNÍ

Více

Definice. Necht M = (Q, T, δ, q 0, F ) je konečný automat. Dvojici (q, w) Q T nazveme konfigurací konečného automatu M.

Definice. Necht M = (Q, T, δ, q 0, F ) je konečný automat. Dvojici (q, w) Q T nazveme konfigurací konečného automatu M. BI-AAG (20/202) J. Holu: 2. Deterministické nedeterministické konečné utomty p. 2/3 Konfigurce konečného utomtu BI-AAG (20/202) J. Holu: 2. Deterministické nedeterministické konečné utomty p. 4/3 Automty

Více

Automaty a gramatiky. Úvod do formáln. lních gramatik. Roman Barták, KTIML. Příklady gramatik

Automaty a gramatiky. Úvod do formáln. lních gramatik. Roman Barták, KTIML. Příklady gramatik Úvod do formáln lních grmtik Automty grmtiky Romn Brták, KTIML rtk@ktiml.mff.cuni.cz http://ktiml.mff.cuni.cz/~rtk Grmtiky, všichni je známe, le co to je? Popis jzyk pomocí prvidel, podle kterých se vytvářejí

Více

( ) ( ) ( ) Exponenciální rovnice. 17.3. Řeš v R rovnici: 3 + 9 + 27 = ŘEŠENÍ: Postup z předešlého výpočtu doplníme využitím dalšího vztahu: ( ) t s t

( ) ( ) ( ) Exponenciální rovnice. 17.3. Řeš v R rovnici: 3 + 9 + 27 = ŘEŠENÍ: Postup z předešlého výpočtu doplníme využitím dalšího vztahu: ( ) t s t 7. EXPONENCIÁLNÍ ROVNICE 7.. Řeš v R rovnice: ) 5 b) + c) 7 0 d) ( ) 0,5 ) 5 7 5 7 K { } c) 7 0 K d) ( ) b) + 0 + 0 K ( ) 5 0 5, 7 K { 5;7} Strtegie: potřebujeme zíkt tkový tvr rovnice, kd je n obou trnách

Více

Neurčité výrazy

Neurčité výrazy .. Neurčité výrzy Předpokldy: Př. : Vypočti ity: ) d) ) d) neeistuje,, Zjímvé. Získli jsme čtyři nprosto rozdílné výsledky, přestože přímým doszením do všech výrzů získáme to smé: výrz může při výpočtu

Více

4. přednáška 22. října Úplné metrické prostory. Metrický prostor (M, d) je úplný, když každá cauchyovská posloupnost bodů v M konverguje.

4. přednáška 22. října Úplné metrické prostory. Metrický prostor (M, d) je úplný, když každá cauchyovská posloupnost bodů v M konverguje. 4. přednášk 22. říjn 2007 Úplné metrické prostory. Metrický prostor (M, d) je úplný, když kždá cuchyovská posloupnost bodů v M konverguje. Příkldy. 1. Euklidovský prostor R je úplný, kždá cuchyovská posloupnost

Více

MINISTERSTVO PRO MÍSTNÍ ROZVOJ Národní orgán pro koordinaci POKYN PRO TVORBU A OBSAH ZPRÁVY O REALIZACI OPERAČNÍHO PROGRAMU PRO MONITOROVACÍ VÝBOR

MINISTERSTVO PRO MÍSTNÍ ROZVOJ Národní orgán pro koordinaci POKYN PRO TVORBU A OBSAH ZPRÁVY O REALIZACI OPERAČNÍHO PROGRAMU PRO MONITOROVACÍ VÝBOR MINISTERSTVO PRO MÍSTNÍ ROZVOJ Národní orgán pro koordinci POKYN PRO TVORBU A OBSAH ZPRÁVY O REALIZACI OPERAČNÍHO PROGRAMU PRO MONITOROVACÍ VÝBOR ŘÍJEN 2014 MINISTERSTVO PRO MÍSTNÍ ROZVOJ Odbor řízení

Více

Instalační návod. Záložní ohřívač pro venkovní jednotky s integrovanými hydraulickými součástmi EKMBUHCA3V3 EKMBUHCA9W1.

Instalační návod. Záložní ohřívač pro venkovní jednotky s integrovanými hydraulickými součástmi EKMBUHCA3V3 EKMBUHCA9W1. Záložní ohřívč pro venkovní jednotky s integrovnými hydrulickými EKMBUHCAV EKMBUHCA9W Záložní ohřívč pro venkovní jednotky s integrovnými hydrulickými češtin Osh Osh O této dokumentci. O tomto dokumentu...

Více

Zvyšování kvality výuky technických oborů

Zvyšování kvality výuky technických oborů Zvyšování kvlity výuky technických oorů Klíčová ktivit IV Inovce zkvlitnění výuky směřující k rozvoji mtemtické grmotnosti žáků středních škol Tém IV Algerické výrzy, výrzy s mocninmi odmocninmi Kpitol

Více

9 - Zpětná vazba. Michael Šebek Automatické řízení 2015 16-3-15

9 - Zpětná vazba. Michael Šebek Automatické řízení 2015 16-3-15 9 - Zpětná vz Michel Šeek Atomtické řízení 2015 16-3-15 Atomtické řízení - Kernetik rootik Proč řídit? Řídicí sstém msí zjistit stilit chování Klsické poždvk n chování přípstná stálená reglční odchlk při

Více

Lineární nerovnice a jejich soustavy

Lineární nerovnice a jejich soustavy teorie řešené úlohy cvičení tipy k mturitě výsledky Lineární nerovnice jejich soustvy Víš, že pojem nerovnice není opkem pojmu rovnice? lineární rovnice má většinou jediné řešení, kdežto lineární nerovnice

Více

Teorie jazyků a automatů I

Teorie jazyků a automatů I Šárk Vvrečková Teorie jzyků utomtů I Sírk úloh pro cvičení Ústv informtiky Filozoficko-přírodovědecká fkult v Opvě Slezská univerzit v Opvě Opv, poslední ktulizce 5. květn 205 Anotce: Tto skript jsou určen

Více

Datamining a AA (Above Average) kvantifikátor

Datamining a AA (Above Average) kvantifikátor Dtmining AA (Above Averge) kvntifikátor Jn Burin Lbortory of Intelligent Systems, Fculty of Informtics nd Sttistics, University of Economics, W. Churchill Sq. 4, 13067 Prgue, Czech Republic, burinj@vse.cz

Více

NAŘÍZENÍ KOMISE V PŘENESENÉ PRAVOMOCI (EU) č. /.. ze dne 30.4.2013,

NAŘÍZENÍ KOMISE V PŘENESENÉ PRAVOMOCI (EU) č. /.. ze dne 30.4.2013, EVROPSKÁ KOMISE V Bruselu dne 30.4.2013 C(2013) 2420 finl NAŘÍZENÍ KOMISE V PŘENESENÉ PRAVOMOCI (EU) č. /.. ze dne 30.4.2013, kterým se mění nřízení (ES) č. 809/2004, pokud jde o poždvky n zveřejňování

Více

( a) Okolí bodu

( a) Okolí bodu 0..5 Okolí bodu Předpokldy: 40 Pedgogická poznámk: Hodin zjevně překrčuje možnosti většiny studentů v 45 minutách. Myslím, že nemá cenu přethovt do dlší hodiny, příkldy s redukovnými okolími nejsou nutné,

Více

Automaty a gramatiky. Organizační záležitosti. Přednáška: na webu (http://ktiml.mff.cuni.cz/~bartak/automaty) Proč chodit na přednášku?

Automaty a gramatiky. Organizační záležitosti. Přednáška: na webu (http://ktiml.mff.cuni.cz/~bartak/automaty) Proč chodit na přednášku? Orgnizční záležitosti Atomty grmtiky Romn Brták, KTIML rtk@ktiml.mff.cni.cz http://ktiml.mff.cni.cz/~rtk Přednášk: n we (http://ktiml.mff.cni.cz/~rtk/tomty) Proč chodit n přednášk? dozvíte se více než

Více

Prostorové nároky... 35. Zatížení... 37 Velikost zatížení... 37 Směr zatížení... 37. Nesouosost... 40. Přesnost... 40. Otáčky... 42. Tichý chod...

Prostorové nároky... 35. Zatížení... 37 Velikost zatížení... 37 Směr zatížení... 37. Nesouosost... 40. Přesnost... 40. Otáčky... 42. Tichý chod... Vol typu ložisk Prostorové nároky... 35 Ztížení... 37 Velikost ztížení... 37 Směr ztížení... 37 Nesouosost... 40 Přesnost... 40 Otáčky... 42 Tichý chod... 42 Tuhost... 42 Axiální posuvnost... 43 Montáž

Více

Integrály definované za těchto předpokladů nazýváme vlastní integrály.

Integrály definované za těchto předpokladů nazýváme vlastní integrály. Mtemtik II.5. Nevlstní integrály.5. Nevlstní integrály Cíle V této kpitole poněkud rozšíříme definii Riemnnov určitého integrálu i n přípdy, kdy je integrční oor neohrničený (tj. (, >,

Více

Konstrukce na základě výpočtu II

Konstrukce na základě výpočtu II 3.3.1 Konstruke n zákldě výpočtu II Předpokldy: 030311 Př. 1: Jsou dány úsečky o délkáh,,. Sestroj úsečku o déle =. Njdi oený postup, jk sestrojit ez měřítk poždovnou úsečku pro liovolné konkrétní délky

Více

PRINCIP ZÁPISU AKORDU POMOCÍ AKORDOVÝCH ZNAČEK

PRINCIP ZÁPISU AKORDU POMOCÍ AKORDOVÝCH ZNAČEK Střed 15 Prosinec 2004 04:00 PRINIP ZÁPISU KORU POMOÍ KOROVÝH ZNČK Určitě už se vám stlo že jste nkoukli do zpěvníku chtěli zhrát nějkou olíenou píseň hned ve druhém tktu vás odrdil zápis typu 5 + /mj7/9

Více

Petriho sítě PES 2007/2008. ceska@fit.vutbr.cz. Doc. Ing. Tomáš Vojnar, Ph.D. vojnar@fit.vutbr.cz

Petriho sítě PES 2007/2008. ceska@fit.vutbr.cz. Doc. Ing. Tomáš Vojnar, Ph.D. vojnar@fit.vutbr.cz PES Petriho sítě p. 1/34 Petriho sítě PES 2007/2008 Prof. RNDr. Miln Češk, CS. esk@fit.vutr.z Do. Ing. Tomáš Vojnr, Ph.D. vojnr@fit.vutr.z Sz: Ing. Petr Novosd, Do. Ing. Tomáš Vojnr, Ph.D. (verze 06.04.2010)

Více

2.2.9 Grafické řešení rovnic a nerovnic

2.2.9 Grafické řešení rovnic a nerovnic ..9 Grfické řešení rovnic nerovnic Předpokldy: 0, 06 Př. : Řeš početně i grficky rovnici x + = x. Početně: Už umíme. x + = x x = x = K = { } Grficky: Kždá ze strn rovnice je výrzem pro lineární funkci

Více

56. ročník Matematické olympiády. b 1,2 = 27 ± c 2 25

56. ročník Matematické olympiády. b 1,2 = 27 ± c 2 25 56. ročník Mtemtické olympiády Úlohy domácí části I. kol ktegorie 1. Njděte všechny dvojice (, ) celých čísel, jež vyhovují rovnici + 7 + 6 + 5 + 4 + = 0. Řešení. Rovnici řešíme jko kvdrtickou s neznámou

Více

Technická kybernetika. Obsah

Technická kybernetika. Obsah 28.02.207 Akemiký rok 206/207 Připrvil: Rim Frn Tehniká kyernetik Logiké řízení 2 Osh Logiké řízení. Booleov lger. Zání logiké funke. Syntéz knonikého tvru kominční logiké funke. Sestvení logiké funke

Více

JAN VÁLEK, PETR SLÁDEK Katedra fyziky, chemie a odborného vzdělávání, Pedagogická fakulta, Masarykova univerzita, Poříčí 7, Brno

JAN VÁLEK, PETR SLÁDEK Katedra fyziky, chemie a odborného vzdělávání, Pedagogická fakulta, Masarykova univerzita, Poříčí 7, Brno Veletrh nápdů učitelů fyziky 18 Fyzik cyklist JAN VÁLEK, PETR SLÁDEK Ktedr fyziky, chemie odorného vzdělávání, Pedgogická fkult, Msrykov univerzit, Poříčí 7, 603 00 Brno Astrkt Jízdní kolo spojuje mnoho

Více

Definice limit I

Definice limit I 08 Definice limit I Předpokld: 006 Pedgogická poznámk: N úvod je třeb upozornit, že tto hodin je ze strn studentů snd nejvíce sbotovnou látkou z celé studium (podle rekcí 4B009) Jejich ochot brát n vědomí

Více

Obecně: K dané funkci f hledáme funkci ϕ z dané množiny funkcí M, pro kterou v daných bodech x 0 < x 1 <... < x n. (δ ij... Kroneckerovo delta) (4)

Obecně: K dané funkci f hledáme funkci ϕ z dané množiny funkcí M, pro kterou v daných bodech x 0 < x 1 <... < x n. (δ ij... Kroneckerovo delta) (4) KAPITOLA 13: Numerická integrce interpolce [MA1-18:P13.1] 13.1 Interpolce Obecně: K dné funkci f hledáme funkci ϕ z dné množiny funkcí M, pro kterou v dných bodech x 0 < x 1

Více

+ c. n x ( ) ( ) f x dx ln f x c ) a. x x. dx = cotgx + c. A x. A x A arctgx + A x A c

+ c. n x ( ) ( ) f x dx ln f x c ) a. x x. dx = cotgx + c. A x. A x A arctgx + A x A c ) INTEGRÁLNÍ POČET FUNKCE JEDNÉ PROMĚNNÉ ) Pojem neurčitého integrálu Je dán funkce Pltí všk tké F tk, y pltilo F ( ) f ( ) Zřejmě F ( ), protože pltí, 5,, oecně c, kde c je liovolná kon- stnt f ( ) nším

Více

Psychologická metodologie. NMgr. obor Psychologie

Psychologická metodologie. NMgr. obor Psychologie Pržská vysoká škol psychosociálních studií, s.r.o. Temtické okruhy ke státní mgisterské zkoušce Psychologická metodologie NMgr. oor Psychologie 1 Vědecká teorie vědecká metod Vědecké vysvětlení, vědecký

Více

Regulace f v propojených soustavách

Regulace f v propojených soustavách Regulce f v propojených soustvách Zopkování principu primární sekundární regulce f v izolovné soustvě si ukážeme obr.,kde je znázorněn S Slovenské Republiky. Modře jsou vyznčeny bloky, které jsou zřzeny

Více

6. Setrvačný kmitový člen 2. řádu

6. Setrvačný kmitový člen 2. řádu 6. Setrvčný kmitový člen. řádu Nejprve uvedeme dynmické vlstnosti kmitvého členu neboli setrvčného členu. řádu. Předstviteli těchto členů jsou obvody nebo technická zřízení, která obshují dvě energetické

Více

Spojitost funkce v bodě, spojitost funkce v intervalu

Spojitost funkce v bodě, spojitost funkce v intervalu 10.1.6 Spojitost funkce v bodě, spojitost funkce v intervlu Předpokldy: 10104, 10105 Př. 1: Nkresli, jk funkce f ( x ) dná grfem zobrzí vyznčené okolí bodu n ose x n osu y. Poté nkresli n osu x vzor okolí

Více

Laboratorní práce č. 6 Úloha č. 5. Měření odporu, indukčnosti a vzájemné indukčnosti můstkovými metodami:

Laboratorní práce č. 6 Úloha č. 5. Měření odporu, indukčnosti a vzájemné indukčnosti můstkovými metodami: Truhlář Michl 3 005 Lbortorní práce č 6 Úloh č 5 p 99,8kP Měření odporu, indukčnosti vzájemné indukčnosti můstkovými metodmi: Úkol: Whetstoneovým mostem změřte hodnoty odporů dvou rezistorů, jejich sériového

Více

Přednáška 9: Limita a spojitost

Přednáška 9: Limita a spojitost 4 / XI /, 5: Přednášk 9: Limit spojitost V minulých přednáškách jsme podrobněji prozkoumli důležitý pojem funkce. Při řešení konkrétních problémů se nše znlosti (npř. nměřená dt) zpisují jko funkční hodnoty

Více

Konstrukce na základě výpočtu I

Konstrukce na základě výpočtu I .4.11 Konstruke n zákldě výpočtu I Předpokldy: Pedgogiká poznámk: Je důležité si uvědomit, že následujíí sled příkldů neslouží k tomu, y si žái upevnili mehniký postup n dělení úseček. Jediné, o y si měli

Více

x + F F x F (x, f(x)).

x + F F x F (x, f(x)). I. Funkce dvou více reálných proměnných 8. Implicitně dné funkce. Budeme se zbývt úlohou, kdy funkce není zdná přímo předpisem, který vyjdřuje závislost její hodnoty n hodnotách proměnných. Jeden z možných

Více

LINEÁRNÍ DIFERENCIÁLNÍ ROVNICE 2.ŘÁDU

LINEÁRNÍ DIFERENCIÁLNÍ ROVNICE 2.ŘÁDU LINEÁRNÍ DIFERENCIÁLNÍ ROVNICE 2.ŘÁDU ZDENĚK ŠIBRAVA 1. Obecné řešení lin. dif. rovnice 2.řádu s konstntními koeficienty 1.1. Vrice konstnt. Příkld 1.1. Njděme obecné řešení diferenciální rovnice (1) y

Více

return n; 3/29 Ing. Miroslav Balík, Ph.D. - BI-PA1-05 if (n<1) { printf("%d neni prirozene cislo\n", n); exit(0); }

return n; 3/29 Ing. Miroslav Balík, Ph.D. - BI-PA1-05 if (n<1) { printf(%d neni prirozene cislo\n, n); exit(0); } 1 Příprv studijního prormu Informtik je podporován projektem finncovným z Evropského sociálního fondu rozpočtu hlvního měst Prhy. Prh & EU: Investujeme do vší budoucnosti Funkce, intuitivní chápání složitosti

Více

Minimalizace automatů. M. Kot, Z. Sawa (VŠB-TU Ostrava) Úvod do teoretické informatiky 28. března / 31

Minimalizace automatů. M. Kot, Z. Sawa (VŠB-TU Ostrava) Úvod do teoretické informatiky 28. března / 31 Minimlizce utomtů M. Kot, Z. Sw (VŠB-TU Ostrv) Úvod do teoretické informtiky 28. řezn 2007 1/ 31 Ekvivlence utomtů 1 2 3 1 2 3 1 2 Všechny 3 utomty přijímjí jzyk všech slov se sudým počtem -ček Nejvýhodnějšíjepronásposledníznich-mánejméněstvů

Více

Křivkový integrál funkce

Křivkový integrál funkce Kpitol 6 Křivkový integrál funkce efinice způsob výpočtu Hlvním motivem pro definici určitého integrálu funkce jedné proměnné byl úloh stnovit obsh oblsti omezené grfem dné funkce intervlem n ose x. Řd

Více

VYHLÁŠKA ze dne 6. prosince 2016 o požadavcích na systém řízení

VYHLÁŠKA ze dne 6. prosince 2016 o požadavcích na systém řízení Částk 166 Sbírk zákonů č. 408 / 2016 Strn 6363 408 VYHLÁŠKA ze dne 6. prosince 2016 o poždvcích n systém řízení Státní úřd pro jdernou bezpečnost stnoví podle 236 zákon č. 263/2016 Sb., tomový zákon, k

Více

2. Funkční řady Studijní text. V předcházející kapitole jsme uvažovali řady, jejichž členy byla reálná čísla. Nyní se budeme zabývat studiem

2. Funkční řady Studijní text. V předcházející kapitole jsme uvažovali řady, jejichž členy byla reálná čísla. Nyní se budeme zabývat studiem 2. Funkční řd Studijní text 2. Funkční řd V předcházející kpitole jsme uvžovli řd, jejichž člen bl reálná čísl. Nní se budeme zbývt studiem obecnějšího přípdu, kd člen řd tvoří reálné funkce. Definice

Více

Jsou to rovnice, které obsahují neznámou nebo výraz s neznámou jako argument logaritmické funkce.

Jsou to rovnice, které obsahují neznámou nebo výraz s neznámou jako argument logaritmické funkce. Logritmické rovnice Jsou to rovnice, které oshují neznámou neo výrz s neznámou jko rgument ritmické funkce. Zákldní rovnice, 0 řešíme pomocí vzthu. Složitější uprvit n f g potom f g (protože ritmická funkce

Více

2. INTEGRÁLNÍ POČET FUNKCE JEDNÉ PROMĚNNÉ

2. INTEGRÁLNÍ POČET FUNKCE JEDNÉ PROMĚNNÉ . INTEGRÁLNÍ POČET FUNKE JEDNÉ PROMĚNNÉ Při řešení technických prolémů, ve fyzice pod. je velmi čsto tře řešit orácenou úlohu k derivování. K zdné funkci f udeme hledt funkci F tkovou, y pltilo F f. Budeme

Více

íslicová technika Radek Maík Maík Radek 1

íslicová technika Radek Maík Maík Radek 1 íslicová technik Rdek Mík Mík Rdek 1 íselné soustvy ritmetické operce Mík Rdek 2 Pevody mezi soustvmi (z10) Výsledek dostneme vyíslením z-dickéhoz dickéhoísl ve tvru dy. (101,11) 2 = 1.2 2 + 0.2 1 + 1.2

Více

Hyperbola, jejíž střed S je totožný s počátkem soustavy souřadnic a jejíž hlavní osa je totožná

Hyperbola, jejíž střed S je totožný s počátkem soustavy souřadnic a jejíž hlavní osa je totožná Hyperol Hyperol je množin odů, které mjí tu vlstnost, že solutní hodnot rozdílu jejich vzdáleností od dvou dných různých odů E, F je rovn kldné konstntě. Zkráceně: Hyperol = {X ; EX FX = }; kde symolem

Více

Až dosud jsme se zabývali většinou reálnými posloupnostmi, tedy zobrazeními s definičním

Až dosud jsme se zabývali většinou reálnými posloupnostmi, tedy zobrazeními s definičním Limit funkce. Zákldní pojmy Až dosud jsme se zbývli většinou reálnými posloupnostmi, tedy zobrzeními s definičním oborem N. Nyní obrátíme svou pozornost n širší třídu zobrzení. Definice.. Zobrzení f, jehož

Více

Formální jazyky. M. Kot, Z. Sawa (VŠB-TU Ostrava) Úvod do teoretické informatiky 6. března / 48

Formální jazyky. M. Kot, Z. Sawa (VŠB-TU Ostrava) Úvod do teoretické informatiky 6. března / 48 Formální jzyky M. Kot, Z. Sw (VŠB-TU Ostrv) Úvod do teoretické informtiky 6. březn 2007 1/ 48 Motivce 1: Vyhledávání v textu Potřebujeme řešit následující problém: Máme řdu různých textů(npř. soubory n

Více

Riemannův určitý integrál.

Riemannův určitý integrál. Riemnnův určitý integrál. Definice 1. Budiž

Více

Minimální záznam RDA/MARC 21 pro speciální (netextové) monografické zdroje

Minimální záznam RDA/MARC 21 pro speciální (netextové) monografické zdroje Minimální záznm RDA/MARC 21 pro speciální (netextové) monogrfické zdroje Indikátory u polí jsou vyznčeny pouze, pokud znmenjí rozdíl v typu zpisovných údjů. p údj je povinný vždy údj je povinný, pokud

Více

Vzdělávací materiál. vytvořený v projektu OP VK. Název školy: Gymnázium, Zábřeh, náměstí Osvobození 20. Číslo projektu: CZ.1.07/1.5.00/34.

Vzdělávací materiál. vytvořený v projektu OP VK. Název školy: Gymnázium, Zábřeh, náměstí Osvobození 20. Číslo projektu: CZ.1.07/1.5.00/34. Vzdělávcí mteriál vytvořený v projektu OP VK Název školy: Gymnázium, Zářeh, náměstí Osvoození 20 Číslo projektu: Název projektu: Číslo název klíčové ktivity: CZ.1.07/1.5.00/34.0211 Zlepšení podmínek pro

Více

Regulace v ES na výroby

Regulace v ES na výroby Regulce v ES n výroy Regulce v ES n strně výroy Regulce v ES n strně výroy Sttická chrkteristik Regulce v ES n strně výroy regulce více G Regulce v ES n strně výroy korektor frekvence rimární Regulce Úkol

Více